Vivado2019+Modelsim仿真环境搭建

news2024/9/22 7:32:39

目录

一、安装准备

二、安装与配置

2.1 Vivado安装

2.2 modelsim安装

2.3 Vivado设置modelsim仿真环境

1)仿真库生成设置

2)modelsim添加仿真库(逐个添加)

3)modelsim添加仿真库(批量添加)

        2.4 示例结果展示

三、常见问题

3.1 Flow Navigator窗口中无法执行simulation操作

3.2 切换工程文件和源文件,仿真失败

四、参考网址

一、安装准备

平台环境:windows系统

安装包:vivado2019 安装包,modelsim安装包

下载链接:https://pan.baidu.com/s/1Yy-w_7VfSa6cMzUyPw7atg 提取码: c4x4

磁盘空间:至少85G

注:百度网盘链接中vivado为可使用的版本,只需安装,modelsim的安装包中已包含相关安装文件

1)vivado的安装包较大,将近45G,包含了压缩包和解压后的文件。

2)解压后还需35G的空间,因此共需约至少80G的空间

3)modelsim安装包 750M+安装大小1.5G

二、安装与配置

2.1 Vivado安装

        将下载的vivado安装包解压,因为文件较大,所有压缩时是分卷压缩的,任选一个rar文件解压,输入解压密码(在安装包的“解压密码.txt”中)。        

 解压后,进入到解压后的文件夹中,如下图所示,双击“xsetup.exe”进行安装 

进入安装界面

直接点击“next”, 勾选三个“I agree”,再点左下角“next”

         选择版本安装,有4个选项,一般是选择“Vivado HL Design Edition”或“Vivado HL System Edition”区别是前者包含了基于C语言设计的vivado 高级综合,实现,验证程序,后者是多了DSP的系统生成器,本人安装选择的前者

         进入此界面,红色框中的"Engineering Sample Device"可勾选也可不勾选,勾选的作用是安装时后会提供一些工程的模块

        进入安装路径选择界面,左上角根据实际情况设置安装路径,设置了安装路径后会发现右下角的“Next”图标仍是置灰状态。

        此时需要对右上角的内容进行更改,取消勾选“Create progream group entries”即可。

         点击“Install”进行安装

         安装中,左上角有安装进度显示

         安装完后桌面会有以下三个图标,点击“Vivado 2019.1”打开

         进入到“Help->Manage License”

        看到“Version Limit”一列的时间为2037.05,即表示已激活,到此vivado即已安装完成

2.2 modelsim安装

        modelsim参照“modelsim SE 2020安装指导.docx”进行安装破解即可

2.3 Vivado设置modelsim仿真环境

1)仿真库生成设置

        打开vivado,进入“Tools->Compile Simulation Libraries”进行仿真库编译

         仿真库设置,“Simulation”设置为“Modelsim Simulator”,language,Library,Family为默认值“All”即可

       “Compiled library location”设置为编译的仿真库所存放的路径,该路径自定义,"Simulation executable path"设置为之前安装的modelsim的路径(包含modelsim.exe文件的路径)。

仿真库编译中

         编译完成后在 “Compiled library location”设置的路径下可看到仿真库文件

2)modelsim添加仿真库(逐个添加)

         modelsim安装完后只有一些自带的库,如下图


        

         打开modelsim,任意选中一个存在的library,鼠标右键弹出弹框,选择“New->library”创建新库

       

        因为前面已经使用vivado编译了仿真库,故"Create"选择“a map to an existing library”,“library name”自定义,最好和库文件夹同名方便识别,"Library Maps to"选择需添加的仿真库路径

         

        添加成功后如图所示,在vivado_test下面可以看到

3)modelsim添加仿真库(批量添加)

         进入modelsim安装环境中,安装路径下有一个modelsim.ini文件,默认文件是只读的,取消只读属性后打开文件 

        在"Library"下添加vivado编译的仿真库路径    

        如图所示进行添加,添加后暂未看到效果,原因还未确定

2.4 示例结果展示

        "Design sources"创建了source文件,"Simulation Sources"中添加仿真文件,implement成功后,如下图,进行仿真,选择“Post-Implementation Functional Simulation”

         仿真会自动打开modelsim展示结果,但显示时间精认默认,不友好,如下图

          按下图标号一次操作步骤1,2,3,步骤1位restart,步骤3位run-all,在wave2中重新生成结果

         再点击下图红框图标“zoom full”.展示结果

         鼠标点击波形任一需要查看的位置,会出现一根黄色的定位线,不断地进行放大,如下图即可看到清晰的结果

三、常见问题

3.1 Flow Navigator窗口中无法执行simulation操作

        如下图,如之前出现过仿真报错的情况,则此时“Flow Navigator”窗口中"Simulation"下的仿真选项是reset状态,无法执行simulation

        进入“Sources->Simulation Sources” 下面的仿真文件夹,鼠标右键弹出仿真弹框,选择“Run Simulation”即可执行各阶段的仿真

3.2 切换工程文件和源文件,仿真失败

        在vivado中如果一个工程和仿真结束后,需要切换到其他工程进行仿真时,对应的project summary中,Top module name需设置为新的源文件模块

         仿真文件切换,进入“Tools->Settings”界面

        进入“Project Settings->Simulation”界面,“Simulation top module name”需设置为simulation 文件的module,非Design Sources中.v文件的module

四、参考网址

modelsim安装参考:​​​​​​​

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/99082.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Spring 中 @Value 注解使用和源码分析

1、Value 注解使用 先配置本地 application.properties 如下: apple.nameabc代码如下: PropertySource("application.properties") public class Apple {Value("${apple.name}")public String name; }ComponentScan public class …

非零基础自学Golang 第9章 结构体 9.7 匿名结构体 9.8 小结 9.9 知识拓展

非零基础自学Golang 文章目录非零基础自学Golang第9章 结构体9.7 匿名结构体9.7.1 匿名结构体定义与初始化9.7.2 匿名结构体的应用9.8 小结9.9 知识拓展9.9.1 使用结构体解析XML文件第9章 结构体 9.7 匿名结构体 9.7.1 匿名结构体定义与初始化 匿名结构体,顾名思…

blender源代码编译运行

其实在blender官网上已经给出了编译步骤https://wiki.blender.org/wiki/Building_Blender/Windows,由于在源码编译的过程中还遇到了很多问题,特此记录一下。 文章目录前提准备代码下载1. blender源码下载2. lib下载编译前提准备 Visual Studio2019或者2…

Innodb存储引擎-执行流程分析和二阶段提交分析

文章目录执行流程分析基本流程WAL(Write-Ahead Log)write和fsync区别innodb_flush_logs_at_trx_commit & sync_binlog二阶段提交执行流程分析 基本流程 (1)连接,分析,优化,执行 客户端与MySQL Server建立连接,发送语句给MySQL Server&a…

2022.12.18 学习周报

文章目录摘要文献阅读1.题目2.摘要3.介绍4.RNNConventional Recurrent Neural Networks5.Deep Recurrent Neural Networks5.1 Deep Transition RNN5.2 Deep Output5.3 Stacked RNN6.实验6.1 训练6.2 结果与分析7.讨论深度学习GRU公式推导1.GRU前向传播2.GRU反向传播GRU代码实现…

关于数学中“函数(function)”的含义

目录 1. 问题 2. “function”是如何翻译成“函数”的? 3. “function”是谁引入数学中的,其意义何在? 3.1 “function”的词源 3.2 “function”引入数学中 3.3 “function”的含义 4. 常见的函数(Common Functions) 4.1 线性函数(L…

word页码如何设置为章节加页码,例如第一章第一页1-1、第二章章第一页2-1

由于用到word页码分章节页码的形式,从网上查了一下,质量真的很差,没有一篇文章讲清楚的,有的所答非所问,一怒之下,利用几个小时的时间解决问题并写下这篇文章,以供大家学习参考!&…

【JSP】

文章目录简介Scriptlet脚本小程序JSP的指令标签include静态包含include动态包含不传参传参JSP的四大域对象四种属性范围验证属性范围的特点EL表达式操作字符串操作集合emptyJSTL条件动作标签if标签choose、when 和 otherwise 标签迭代标签foreach格式化动作标签formatNumber标签…

NProgress 进度条的使用方法

安装NProgress 进度条 npm install nprogress --save-dev 在vue项目中mian.js中或router.js或axios.js: import NProgress from nprogress import nprogress/nprogress.css 使用NProgress进度条 NProgress.start(); :进度条开始; NProgr…

GCD和LCM

目录 一 整除 定义 性质 二 GCD 1)定义 2)性质 3)GCD编程 ①暴力法 ②欧几里得算法 ③更相减损术 ④Stein算法 三 LCM ①暴力法 ②最大公约数法 四 裴蜀定理 例题:裴蜀定理 一 整除 定义 a 能整除b,记为 a|b。其…

你还会想起这道题吗

链接:登录—专业IT笔试面试备考平台_牛客网 来源:牛客网 题目描述 原神是由米哈游自主研发的一款全新开放世界冒险游戏。游戏发生在一个被称作「提瓦特」的幻想世界,在这里,被神选中的人将被授予「神之眼」,导引元…

m基于神经网络的气候预测matlab仿真,气候数据采用的BoM气候数据,神经网络为matlab编程实现不使用工具箱函数

目录 1.算法描述 2.仿真效果预览 3.MATLAB核心程序 4.完整MATLAB 1.算法描述 人工神经网络(Artificial Neural Networks,简写为ANNs)也简称为神经网络(NNs)或称作连接模型(Connection Model&#xff09…

UICollectionView 实际使用

一. 使用UICollectionView制作书架 我想的书架是那种每一排都可以滑动的。暂时的想法是使用两个collectionView,第一个collectionView布置书架的每一排,第二个布置每一排内部的书。 布置外部的colletionView,这部分很简单,item的…

[ 数据结构 -- 手撕排序算法第五篇 ] 堆排序

文章目录前言一、常见的排序算法二、堆的概念及结构三、堆的实现3.1 堆的插入3.2 堆的删除四、堆排序4.1 向上调整建堆4.2 向下调整建堆4.3 建堆的时间复杂度4.4 堆排序五、堆排序的特性前言 手撕排序算法第五篇:堆排序! 从本篇文章开始,我会…

Java+JSP超市管理系统(含源码+论文+答辩PPT等)

项目功能简介: 该项目采用的技术后台框架:Servlet、JSP、JDBC、UI界面:BootStrap、jQuery、数据库:MySQL 系统功能 该系统共包含两种角色:员工和管理员。系统的主要功能模块如下: 1.系统管理 系统登陆、系统退出、修改…

《Mysql是怎样运行的》补充

19 第19章 从猫爷被杀说起-事务简介 19.1 事务的起源 19.1.1 原子性(Atomicity) 19.1.2 隔离性(Isolation) 其它的状态转换不会影响到本次状态转换,这个规则被称之为 隔离性 19.1.3 一致性(Consisten…

[ISITDTU 2019]EasyPHP rce替换字母

<?php highlight_file(__FILE__);$_ $_GET[_]; if ( preg_match(/[\x00- 0-9\"$&.,|[{_defgops\x7F]/i, $_) )die(ros will not do it);if ( strlen(count_chars(strtolower($_), 0x3)) > 0xd )die(you are so close, omg);eval($_); ?> 打开界面有两个i…

Mysql分布式锁(四)乐观锁实现并发

文章目录CAS - Compare And Swap业务改造1. 表结构新增version列2. 修改代码3. 测试问题1. 高并发情况下&#xff0c;性能极低2. ABA问题3. 读写分离情况下导致乐观锁不可靠CAS - Compare And Swap 先比较再交换&#xff0c;一般通过时间戳或者version版本号。 举例&#xff1…

【审计思路】如何快速定位SQLMS注入漏洞?

0x00 前言 MCMS是政府、教育等其他行业常用的CMS&#xff0c;应用广泛&#xff0c;但是底层的代码中仍然遗留不少的问题。这篇文章主要针对SQL注入进行审计并探讨如何快速定位SQL注入漏洞&#xff0c;以及其他工具的应用。 MCMS&#xff0c;是完整开源的Java CMS&#xff01;基…

[ vulhub漏洞复现篇 ] Apache Airflow Celery 消息中间件命令执行漏洞复现 CVE-2020-11981

&#x1f36c; 博主介绍 &#x1f468;‍&#x1f393; 博主介绍&#xff1a;大家好&#xff0c;我是 _PowerShell &#xff0c;很高兴认识大家~ ✨主攻领域&#xff1a;【渗透领域】【数据通信】 【通讯安全】 【web安全】【面试分析】 &#x1f389;点赞➕评论➕收藏 养成习…