数字IC前端学习笔记:仲裁轮询(二)

news2024/9/22 21:19:37

相关文章

数字IC前端学习笔记:LSFR(线性反馈移位寄存器)

数字IC前端学习笔记:跨时钟域信号同步

数字IC前端学习笔记:信号同步和边沿检测

数字IC前端学习笔记:锁存器Latch的综合

数字IC前端学习笔记:格雷码(含Verilog实现的二进制格雷码转换器)

数字IC前端学习笔记:FIFO的Verilog实现(一)

数字IC前端学习笔记:FIFO的Verilog实现(二)

数字IC前端学习笔记:仲裁轮询(一)


4.公平轮询

        在公平轮询方案中,所有用户的优先级相等,每个用户依次获得授权。一开始,选择用户的顺序可以是任意的,但在一个轮询周期内,所有发出请求的用户都有公平得到授权的机会。以具有四个用户的总线为例,当它们全部将请求信号置为有效(高电平)时,request0将首先被授权,紧跟着是request1、request2,最后是request3。当循环完成后,request才会被重新授权。仲裁器每次仲裁时,依次查看每个用户的请求信号是否有效,如果一个用户的请求无效,那么将按序查看下一个用户。仲裁器会记住上一次被授权的用户,当该用户的操作完成后,仲裁器会按序轮询其他用户是否有请求。

        一旦某个用户得到了授权,它可以长时间使用总线或占用资源,直到当前数据包传送结束或一个访问过程结束后,仲裁器才会授权其他用户进行操作。这种方案的一个特点是仲裁器没有对用户获得授权后使用总线或访问资源的时间进行约束。该方案适用于基于数据包的协议,例如,以太网交换或PCIe交换机,当多个入口的包希望从一个端口输出时,可以采用这种机制。此外还有一种机制,每个用户获得授权后,可以占用资源的时间片长度是受约束的,每个用户可以占用资源的时间不能超过规定的长度。如果一个用户在所分配的时间结束之前完成了操作,仲裁器将轮询后续的用户。如果在所分配的时间内用户没有完成操作,则仲裁器收回授权并轮询后续的用户。此方案适用于突发操作,每次处理一个突发(一个数据块),此时没有数据包的概念。传统的PCI总线或AMBA、AHB总线采用的就是这种方案。在PCI中,仲裁器会给当前获得授权的主机留出一个或多个时钟周期的时间供主机保存当前操作信息,下一次再获得授权时,该主机可以接着传输数据。

        下图为公平轮询的波形图。

        公平轮询的代码及仿真结果如下。

module arbiter_roundrobin(
            clk,resetb,
            req_vec,
            end_access_vec,
            gnt_vec);
    
    input clk,restb;
    input [2:0] req_vec,end_access_vec;
    output [2:0] gnt_vec;
    
    reg [1:0] arbiter_state,arbiter_state_nxt;
    reg [2:0] gnt_vec,gnt_vec_nxt;
    reg [2:0] relative_req_vec;
    wire any_req_asserted;
    reg [1:0] grant_posn,grant_posn_nxt;
        
    parameter IDLE = 2'b00;
    parameter END_ACCESS = 2'b01;
    
    assign any_req_asserted = (req_vec != 0);


    always@(*) begin
        relative_req_vec = rea_vec;
        case(grant_posn)
            2'd0: relative_req_vec = {req_vec[0], req_vec[2:1]};
            2'd1: relative_req_vec = {req_vec[1:0], req_vec[2]};
            2'd2: relative_req_vec = {req_vec[2:0]};
        endcase
    end

    always@(*) begin
        arbiter_state_nxt = arbiter_state;
        grant_posn_nxt = grant_posn;
        gnt_vec_nxt = gnt_vec;
        
        case(arbiter_state)
            IDLE:begin
                if((gnt_vec == 0) || (end_access_vec[0] & gnt_vec[0]) ||             
                   (end_access_vec[1] & gnt_vec[1]) || (end_access_vec[2] & gnt_vec[2]))
                begin
                    if(any_req_asserted)
                        arbiter_state_nxt = END_ACCESS;
                    if(relative_req_vec[0])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                            2'd1: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                            2'd2: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                        endcase
                    else if(relative_req_vec[1])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                            2'd1: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                            2'd2: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                        endcase
                    else if(relative_req_vec[2])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                            2'd1: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                            2'd2: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                        endcase
                    end
                    else
                        gnt_vec_nxt = 3'b000;
                end
            end
            END_ACCESS:begin
                if((end_access_vec[0] & gnt_vec[0]) || (end_access_vec[1] & gnt_vec[1])                             
                     || (end_access_vec[2] & gnt_vec[2]))
                begin
                    if(any_req_asserted)
                        arbiter_state_nxt = IDLE;
                    if(relative_req_vec[0])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                            2'd1: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                            2'd2: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                        endcase
                    else if(relative_req_vec[1])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                            2'd1: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                            2'd2: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                        endcase
                    else if(relative_req_vec[2])begin
                        case(grant_posn)
                            2'd0: begin gnt_vec_nxt = 3'b001; grant_posn_nxt = 'd0 end
                            2'd1: begin gnt_vec_nxt = 3'b010; grant_posn_nxt = 'd1 end
                            2'd2: begin gnt_vec_nxt = 3'b100; grant_posn_nxt = 'd2 end
                        endcase
                    end
                    else
                        gnt_vec_nxt = 3'b000;
                end
            end
        endcase
    end
    

    always@(posedge clk or negedge resetb)begin
        if(!resetb) begin
            arbiter_state <= IDLE;
            gnt_vec <= 0;
            grant_posn <= 0;
        end  
        else begin
            arbiter_state <= arbiter_state_nxt;
            gnt_vec <= gnt_vec_nxt;
            grant_posn <= grant_posn_nxt;
        end
    end
endmodule

 以上内容来源于《Verilog高级数字系统设计技术和实例分析》

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/659128.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【代码阅读软件】VSCode最新版本 下载、安装、配置

目录 一、概述二、安装 VSCode 详细步骤三、基础配置3.1 安装中文插件3.2 安装其他插件 一、概述 VSCode 全称是 Visual Studio Code&#xff0c;是一款免费且开源的现代化代码编辑器&#xff0c;几乎支持所有主流开发语言的语法高亮、智能代码补全、代码片段提示、自定义快捷键…

(2023,网络修剪)探索 few-shot 图像生成中的不相容知识迁移

Exploring Incompatible Knowledge Transfer in Few-shot Image Generation 公众号&#xff1a;EDPJ 目录 0. 摘要 1. 简介 2. 相关工作 3. 基础 4. FSIG 中不兼容的知识转移 4.1 调查不相容的知识 4.2 实验设置 4.3 结果和分析 5. 建议的方法 5.1 通过网络修剪进…

SpringCloud02:Eureka

什么是Eureka&#xff1f; 基于Restful的注册中心 Eureka基本的架构 Springcloud 封装了Netflix公司开发的Eureka模块来实现服务注册与发现 (对比Zookeeper).Eureka采用了C-S的架构设计&#xff0c;EurekaServer作为服务注册功能的服务器&#xff0c;他是服务注册中心.而系统…

React从入门到实战 -组件的三大核心属性(1)state

State state是组件对象最重要的属性&#xff0c;值是对象&#xff08;可以包含多个Key-value的组合&#xff09;组件被称为状态机&#xff0c;通过更新组件的state来更新对应的页面显示&#xff08;重新渲染组件&#xff09; class MyComponent extends React.Component {rend…

023.【回溯算法】

1. 回溯算法 回溯算法也叫试探法&#xff0c;它是一种系统地搜索问题而求解的方法。回溯算法可以找出所有解的一般性&#xff0c;同时可以避免不正确的数值。一旦发现不正确的数值&#xff0c;就不再继续下一层而是返回到上一层&#xff0c;以节省时间。简单来说&#xff0c;回…

OpenVino 2023.0学习使用(1)-简介

模型制备 每一个深度学习工作流程都是从获取模型开始的。您可以选择准备一个自定义的网络&#xff0c;使用现成的解决方案并根据您的需求进行调整&#xff0c;甚至可以从在线数据库下载并运行预先训练的网络&#xff0c;例如TensorFlow Hub&#xff0c;Hugging Face&#xff0…

C++入门:类和对象(中)

目录 前言&#xff1a; 一&#xff1a;类的6个默认成员函数 二&#xff1a;构造函数(第一个成员) (1)概念 (2)特性 (3)特性的解析 ⭐特性4 ⭐特性5 ⭐特性6 ⭐特性7 三&#xff1a;初始化列表 (1)引入 (2)概念 (3)注意 四&#xff1a;析构函数(第二个成员) …

2023年软件测试的高薪机会在哪?

没入行或已经入行测试的小伙伴们&#xff0c;快看过来。来看看你们的高薪机会在哪里&#xff01; 我们先来看几个高薪招聘需求&#xff01; 高薪招聘需求1 要求看起来不是很高&#xff0c;应届生和在校生都可以&#xff0c;而且薪资达到了12K&#xff0c;14薪。 但是&#xff0…

roop 一张图片就能生成换脸视频的开源项目

roop的github地址&#xff1a;https://github.com/s0md3v/roop 安装python&#xff08;pip如果需要也安装&#xff09; 记住到 2023-6-18 这天 一定要是python3的10版本&#xff0c;11版本会有包不兼容&#xff0c;我就这个问题耗费了一天。 我使用的是&#xff1a;python3.1…

图的单源最短路算法:Bellman-Ford

Bell-Ford算法思想 对一个点的松弛操作&#xff0c;就是找到经过这个点的另外一条路径&#xff08;多走一条边&#xff09;&#xff0c;使得花费的代价更小。 如果一个图没有负权环&#xff0c;从一点到另外一点的最短路径&#xff0c;最多经过所有的V个顶点&#xff0c;有V-1…

微信代加什么意思

微信代加什么意思&#xff0c;信息流加粉推广怎么做&#xff0c;信息流怎么做加粉推广&#xff1f;信息流微信加粉#信息流代运营#信息流推广#加粉引流推广#抖音引流推广#信息流加粉链路#抖音#百收助推 近期有客户问信息流微信加粉怎么做&#xff1f; 嗯&#xff0c;首先说一下…

开箱即用的shell脚本(一)

目录 一、通过位置变量创建 Linux 系统账户及密码 二、初始化脚本 三、nginx启动脚本 四、每周 5 使用 tar 命令备份/var/log 下的所有日志文件 五、实时监控本机内存和硬盘剩余空间&#xff0c;剩余内存小于 500M、根分区剩余空间小于 1000M时&#xff0c;发送报警邮件给 …

C语言之动态内存分配(1)

目录 本章重点 为什么存在动态内存分配 动态内存函数的介绍 malloc free calloc realloc 常见的动态内存错误 几个经典的笔试题 柔性数组 动态内存管理—自己维护自己的内存空间的大小 首先我们申请一个变量&#xff0c;再申请一个数组 这是我们目前知道的向内存申请…

【小沐学Python】Python实现Web服务器(Flask,gevent )

文章目录 1、简介1.1 功能列表1.2 支持平台1.3 安装 2、gevent入门示例2.1 文件IO2.2 MySQL2.3 redis2.4 time2.5 requests2.6 socket2.7 并发抓取文字2.8 并发抓取图片2.9 生产者 - 消费者 3、gevent其他示例3.1 StreamServer3.2 WSGI server3.3 flask3.4 websocket3.5 udp 结…

LeetCode 1254. 统计封闭岛屿的数目

【LetMeFly】1254.统计封闭岛屿的数目 力扣题目链接&#xff1a;https://leetcode.cn/problems/number-of-closed-islands/ 二维矩阵 grid 由 0 &#xff08;土地&#xff09;和 1 &#xff08;水&#xff09;组成。岛是由最大的4个方向连通的 0 组成的群&#xff0c;封闭岛是…

Spark-核心常见面试题集锦(RDD、shuffle类型、数据倾斜优化、小文件问题、性能调优、streaming流程、checkpoint机制)

1 Spark的任务执行流程 第一种standalone模式 整体&#xff1a;driver中有sparkcontext&#xff0c;RDD DAG和DAGScheduler和taskscheduler&#xff0c;master是资源管理&#xff0c;worker中executor&#xff0c;executor中有多个task 构建一个application环境&#xff0c;d…

在线查看EventBus源代码

github&#xff1a;/src/org/greenrobot/eventbus/ 例如&#xff1a;EventBus.java

【LeetCode】HOT 100(15)

题单介绍&#xff1a; 精选 100 道力扣&#xff08;LeetCode&#xff09;上最热门的题目&#xff0c;适合初识算法与数据结构的新手和想要在短时间内高效提升的人&#xff0c;熟练掌握这 100 道题&#xff0c;你就已经具备了在代码世界通行的基本能力。 目录 题单介绍&#…

024.【链表算法】

1. 创建单向链表 例如&#xff0c;有“学生”这样一个链表&#xff0c;链表中需要包括学生的姓名、性别、学号等一些基本信息。创建这样的一个链表&#xff0c;链表里面包括多个学生的信息。 可以看出&#xff0c;“学生一”的尾结点“next”指向了下一个“学生二”的头结点学…

【并发编程】创建线程的三种方法

源码 Runnable 函数式接口 package java.lang; FunctionalInterface public interface Runnable { public abstract void run(); }Thread 就是一个典型的静态代理模式 public class Thread implements Runnable {private Runnable target;public Thread() { in…