15EG使用vivado2023.1建立hello world工程

news2024/10/7 8:23:46

1:打开软件建立工程

2:使用vivado创建设计模块并生成bit文件

3:导出硬件平台,使用vitis建立工程

4:使用vitis创建应用程序项目

5:硬件设置与调试

1:打开软件建立工程

打开VIVADO2023.1

创建一个新的工程

输入项目名称和地址,下面那个选项为是否使用项目名称新建一个文件夹,我这里已经建了一个hello_world文件夹,所以不勾选

选择RTL工程,勾选不添加文件

搜索15eg,选择xqzu15eg-ffrb1156-2-i。这一步选择芯片型号,一定要选择和自己开发板相匹配的型号(本实验使用的开发平台是 XCZU15EG对应 的芯片型号xqzu15eg-ffrb1156-2-i)

完成创建工程

2:使用vivado创建设计模块并生成bit文件

添加设计模块并且设置模块名称

在模块中添加ZYNQ IP

配置 ZYNQ IP 的参数

双击 IP 对 IP 的各个功能参数进行配置,这个配置必须参考硬件原理图完成,所以 FPGA 或者 SOC 工程师必须 具备一定的硬件分析能力,可以阅读原理图,实现对硬件资料在软件上的定义分配。

若有配置文件预设可以直接导入配置,这里我们使用已经提供的配置文件对参数进行位置。

配置文件在 hello_world\file 目录下,选择配置文件点击OK即可导入

导入完成点击OK,把配置导入到ZYNQ内

把ZYNQ模块的时钟线连接起来

右键设计模块,点击Generate Output ...

默认设置点击生成

右键设计模块生成HDL文件,该文件就是一个 FPGA Verilog 文件。而 BD 图形化工程,VIVADO 把 BD 封装成了一个模块。顶层的 verilog 文件通过模块接口就可以调用 BD 图形代码了,和 ZYNQ 的调用相比,ZYNQ MPSOC 没有了 DDR 等内部信号接口,简洁了很多。

第一个选项是生成可编辑的HDL文件,第二个选项则不可编辑,这里默认即可

点击Generate Bitstream 生成bit流文件

默认设置点击OK

3:导出硬件平台,使用vitis建立工程

首先需要在vivado中导出硬件平台

设置名称与选择保存地址,建议地址选择新建一个vitis文件夹,完成导出硬件平台

打开vitis

可用通过vivado打开vitis,tools->Launch vitis IDE

也可以通过vitis应用直接打开

无论那种方式打开后都会提示选择工作空间,我们选择上面创建的vitis文件夹为工作空间

打开vitis后创建平台项目

设置项目名称

导入在vivado中创建的硬件平台

4:使用vitis创建应用程序项目

设置项目名称

选择hello_world模板例程

右键创建的项目,选择编译

5:硬件设置与调试

插好板子上的电源,JTAG和UART线,把启动模式设置为JTAG模式0000,开启电源开关

在vitis中点击Debug,进入调试界面

进入Vitis Ser...窗口,点击加号打开串口设置界面,选择COM口后点击OK

点击vitis下的Program Device,把bit流文件烧录进去

点击borwse...选择bit文件,文件在工程目录下的 ***.runs 文件夹,下的impl_1文件夹中,点击program进行烧录

烧录成功,板子上的DL7,DL11和DL12都会亮起来

右键项目点击1 Launch Hardware把程序烧录进去

烧录成功后打开vitis ser...窗口,查看串口输出数据,与例程代码相同

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1423569.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Web开发7:Git版本控制

在开发中,版本控制是一个不可或缺的工具。它能够帮助开发者跟踪和管理代码的变化,协同工作,并且有效地处理代码的冲突。其中,Git是最流行和广泛使用的版本控制系统之一。在本篇文章中,我们将深入探讨Git版本控制的基础…

2024年,AI 掀起数据与分析市场的新风暴

2024 年伊始,Kyligence 联合创始人兼 CEO 韩卿在其公司内部的飞书订阅号发表了多篇 Rethink Data & Analytics 的内部信,分享了对数据与分析行业的一些战略思考,尤其是 AI 带来的各种变化和革命,是如何深刻地影响这个行业乃至…

基于SSM的高校班级同学录网站设计与实现(有报告)。Javaee项目,ssm项目。

演示视频: 基于SSM的高校班级同学录网站设计与实现(有报告)。Javaee项目,ssm项目。 项目介绍: Javaee项目,采用M(model)V(view)C(controller&…

leetcode 28.找出字符串中第一个匹配项的下标(python版)

需求 给你两个字符串 haystack 和 needle ,请你在 haystack 字符串中找出 needle 字符串的第一个匹配项的下标(下标从 0 开始)。 如果 needle 不是 haystack 的一部分,则返回 -1 。 示例 1: 输入:haystack…

网工内推 | 网络安全工程师专场,大平台,六险一金

01 汽车之家 招聘岗位:高级网络安全工程师 职责描述: 1、负责公司网站、系统与产品的漏洞扫描、渗透测试与安全评估工作; 2、负责公司安全系统与安全设备的运维,负责公司网络安全监控管理; 3、负责公司安全事件的应急…

FileZilla 的安装与使用

目录 一. FileZilla 是什么二. FileZilla 的安装1. 下载 FileZilla2. 安装 三. FileZilla 的使用 一. FileZilla 是什么 FileZilla 是一个免费的开源 FTP(文件传输协议)客户端软件,用于在计算机之间传输文件。它提供了一个直观的用户界面&am…

sql注入,布尔盲注和时间盲注,无回显

布尔盲注 通过order by分组可以看到,如果正确会i显示you are in,错误则无任何提示,由此可以判断出,目前只显示对错,此外前端不会显示任何数据 也就是说,目前结果只有两种,在这种只有两种变量的…

Unity 自动轮播、滑动轮播

如图所示,可设置轮播间隔,可左右滑动进行轮播 1.在UGUI创建个Image,添加自动水平组件 2.添加并配置脚本 3.代码如下,都有注释 using UnityEngine; using UnityEngine.UI;public class IndicatorManager : MonoBehaviour {public …

鸿蒙不再兼容安卓,那么鸿蒙开发者是否会大增?

华为的纯血版鸿蒙已出现。紧接着各大厂商都纷纷加入原生应用开发当中,其中包括支付宝、京东、美团等一线大厂,200 多应用厂商正在加速开发鸿蒙原生应用。鸿蒙生态设备数量仅历时 5 个月即从 7 亿增长至 8 亿。 而鸿蒙的开发不止应用层,它是以…

6.3 内存池模式

Bruce Powel Douglass大师介绍-CSDN博客https://blog.csdn.net/ChatCoding/article/details/134665868嵌入式软件开发从小工到专家-CSDN博客https://blog.csdn.net/ChatCoding/article/details/135297955C嵌入式编程设计模式源码-CSDN博客https://blog.csdn.net/ChatCoding/art…

根文件系统之initramfs

问题抛出: 1.系统启动时文件系统功能的实现 1.bootloader支持 1.uboot启动 ——典型的arm设备的选择。 情景1:使用initrd或initramfs,内核和根文件系统作为不同镜像时,uboot负责提供启动参数;加载根文件系统到内存…

C++ 设计模式之解释器模式

【声明】本题目来源于卡码网(卡码网KamaCoder) 【提示:如果不想看文字介绍,可以直接跳转到C编码部分】 【设计模式大纲】 【简介】 --什么是解释器模式(第22种设计模式) 解释器模式(Interpreter…

【INTEL(ALTERA)】带有浮点单元 (FPU) Nios® V/g 处理器在 英特尔® Cyclone10 GX 设备中执行不正确的浮点运算

说明 由于 英特尔 Quartus Prime Pro Edition 软件版本 23.3 存在一个问题,当使用 Nios V/g 处理器并在 英特尔 Cyclone 10 GX 设备中启用 FPU 时,浮点运算无法按预期进行。 Nios V/g 处理器 – 启用浮点单元 解决方法 请勿在 英特尔 CycloneNios 10 G…

张维迎《博弈与社会》笔记(2)导论:个体理性与社会最优:协调与合作问题

有节选,相当于按照自己的方式将内容组织了下吧? 协调与合作问题 什么是一个社会面临的基本问题? 这似乎是一个我们每一个人都可以触摸得到但又难以说清的问题,因为在不同的语境下,“社会”一词的内涵有所差异。但其基…

火山引擎ByteHouse:分析型数据库如何设计并发控制?

更多技术交流、求职机会,欢迎关注字节跳动数据平台微信公众号,回复【1】进入官方交流群 分析型数据库设计并发控制的主要原因是为了确保数据的完整性和一致性,同时提高数据库的吞吐量和响应速度。并发控制可以防止多个事务同时对同一数据进行…

ICMPv6报文解析及NAT处理

ICMPv6报文概述 参考RFC4443和RFC2460 ICMPv6报文是IPv6在internal control management protocol(ICMP)的基础之上做了一些改动,得到了ICMPv6协议,IPv6的next_header为58。 Message general format 每条ICMPv6消息之前都有一个…

从零开始的OpenGL光栅化渲染器构建6-PBR光照模型

前言 PBR,或者基于物理的渲染(Physically Based Rendering),它指的是一些在不同程度上都基于与现实世界的物理原理更相符的基本理论所构成的渲染技术的集合。正因为基于物理的渲染目的便是为了使用一种更符合物理学规律的方式来模拟光线,因此…

Mybatis 源码系列:领略设计模式在 Mybatis 其中的应用

文章目录 一、Builder模式二、工厂模式三、单例模式四、代理模式五、组合模式六、模板方式模式七、适配器模式八、装饰器模式九、迭代器模式 虽然我们都知道有23种设计模式,但是大多停留在概念层面,真实开发中很少遇到,Mybatis源码中使用了大…

代理IP技术在云函数中的创新应用与拓展空间

目录 前言 一、代理IP技术的基本概念和原理 二、云函数的基本原理和优势 1. 弹性伸缩 2. 省时省力 3. 按需计费 三、代理IP技术在云函数中的创新应用 1. 反爬虫技术 2. 访问安全性和隐私保护 3. 地理定位和访问控制 四、代理IP技术在云函数中的拓展空间 1. 代理IP池…

力扣hot100 跳跃游戏 贪心

Problem: 55. 跳跃游戏 文章目录 思路复杂度Code 思路 👨‍🏫 参考 挨着跳,记录最远能到达的地方 复杂度 时间复杂度: O ( n ) O(n) O(n) 空间复杂度: O ( 1 ) O(1) O(1) Code class Solution {public boolean canJump(int[] nums)…