Verilog基础之十二、分频器实现

news2024/9/22 23:31:12

目录

一、前言

二、工程设计

2.1 工程代码

2.2 测试文件代码

2.3 综合结果

2.4 仿真结果


一、前言

    分频器即将高频率的信号转化为更低频率的信号,常用的分频可使用锁相环PLL来实现,也可自己编写RTL代码来实现。根据分频的系数N(假设信号频率为M,分频系数为N,则分频后的信号频率为M/N)分为奇数分频和偶数分频,奇数分频根据占空比可分为50%占空比和非50%占空比。

二、工程设计

2.1 工程代码

    ​代码文件中包含了偶数分频,占空比为50%的奇数分频,占空比为非50%的奇数分频。待分频的信号频率为周期1ns的方波信号,偶数分频的分频系数为10,奇数分频的分频系数为7.

奇数分频占空比为50%的实现为一个上升沿触发的奇数分频信号与一个下降沿触发的偶数分频信号相与获得

module Divider(clk,rst,odd_out,even_out,odev_out );
input clk,rst;
output reg odd_out,even_out;
reg clktemp1,clktemp2;
output odev_out;
reg [3:0] counter_o,counter_e,counter_oe1,counter_oe2;
parameter N=10;  //分频系数为10
parameter N1=7;  //分频系数为7
//偶数分频
always@(posedge clk,negedge rst)
begin
if(!rst)
even_out<=0;
else if(counter_e==N/2-1)
begin
even_out<=~even_out;
counter_e<=0;
end
else 
counter_e<=counter_e+1;
end

//奇数分频,占空比非50%
always@(posedge clk,negedge rst)
begin
if(!rst)
begin
odd_out<=0;
counter_o<=0;
end
else if(counter_o==(N1-1)/2)
begin
odd_out<=~odd_out;
counter_o<=counter_o+1'b1;
end
else if(counter_o==N1-1)
begin
odd_out<=~odd_out;
counter_o<=0;
end
else
counter_o<=counter_o+1'b1;
end

//奇数分频,占空比为50%
always@(posedge clk,negedge rst)
begin
if(!rst)
begin
clktemp1<=0;
counter_oe1<=0;
end
else if(counter_oe1==(N1-1)/2)
begin
clktemp1<=~clktemp1;
counter_oe1<=counter_oe1+1'b1;
end
else if(counter_oe1==N1-1)
begin
clktemp1<=~clktemp1;
counter_oe1<=0;
end
else 
counter_oe1<=counter_oe1+1'b1;
end

always@(negedge clk,negedge rst)
begin
if(!rst)
begin
clktemp2<=0;
counter_oe2<=0;
end
else if(counter_oe2==(N1-1)/2)
begin
clktemp2<=~clktemp2;
counter_oe2<=counter_oe2+1'b1;
end
else if(counter_oe2==N1-1)
begin
clktemp2<=~clktemp2;
counter_oe2<=0;
end
else
counter_oe2<=counter_oe2+1'b1;
end
assign odev_out=clktemp1|clktemp2;
endmodule

2.2 测试文件代码

`timescale 1ns / 1ps
module Divider_tb( );
reg clk,rst;
wire odd_out,even_out,odev_out;
initial
begin
clk=0;
rst=1;
#100 rst=0;
#50 rst=1;
#1000 $stop;   //仿真到1000ns时停止仿真
end
always #1 clk=~clk;  //clk信号周期为2ns
Divider divider_test(.clk(clk),.rst(rst),.odd_out(odd_out),.even_out(even_out),.odev_out(odev_out));
endmodule

2.3 综合结果

途中odev_out为占空比50%的奇数分频输出,由综合结果可知采用了一个LUT2来实现clktemp1和clktemp2的相与操作,clktemp1和clktemp2即为相同奇数分频的信号,区别是一个一个上升沿触发,一个下降沿触发

信号反向的操作,以信号even_out输出为例,即将FDCE的输出Q反馈连接到LUT5的输入,再传输到FDCE的数据输入端口D。

2.4 仿真结果

图中红色为偶数分频的输出,周期为20ns,黄色为基数分频信号,占空比非50%,紫色为基数分频信号,占空比为50%

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/708186.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

linux环境下重置mysql密码

一、my.cnf 首先&#xff0c;需要找到my.cnf这个文件。 Linux 操作系统中 MySQL 的配置文件是 my.cnf&#xff0c;一般会放在 /etc/my.cnf 或 /etc/mysql/my.cnf 目录下。总的来说&#xff0c;my.cnf 类似于 my.ini 配置文件。 如果实在找不到&#xff0c;使用命令&#xff…

C++ 信号处理

信号是由操作系统传给进程的中断&#xff0c;会提早终止一个程序。在 UNIX、LINUX、Mac OS X 或 Windows 系统上&#xff0c;可以通过按 CtrlC 产生中断。 有些信号不能被程序捕获&#xff0c;但是下表所列信号可以在程序中捕获&#xff0c;并可以基于信号采取适当的动作。这些…

组合预测模型 | Matlab基于时间卷积神经网络结合门控循环单元的(TCN-GRU)的回归预测预测,多变量输入模型

文章目录 效果一览文章概述部分源码参考资料效果一览 文章概述 基于时间卷积神经网络结合门控循环单元的(TCN-GRU)的回归预测预测,多变量输入模型(Matlab完整源码和数据) 基于时间卷积神经网络结合门控循环单元的(TCN-GRU)的回归预测预测,多变量输入模型(Matlab完整源码和数…

Vite 项目性能分析与优化

性能优化一直是前端工程化中老生常谈的话题&#xff0c;也是前端项目优化的重要的优化点。事实上&#xff0c;随着项目越来越庞大&#xff0c;稍不注意就会产生明显的性能问题。在不同的场景中&#xff0c;我们对于项目性能的关注点是不一样的。在项目开发阶段&#xff0c;我们…

English Learning - L3 作业打卡 Lesson7 Day55 2023.6.30 周五

English Learning - L3 作业打卡 Lesson7 Day55 2023.6.30 周五 引言&#x1f349;句1: I could feel the wind against my face and the beat of my racing heart as if it were happening in that very moment.成分划分弱读连读爆破语调 &#x1f349;句2: And that is when …

力扣 236. 二叉树的最近公共祖先

题目来源&#xff1a;https://leetcode.cn/problems/lowest-common-ancestor-of-a-binary-tree/description/ C题解&#xff1a;弄清思路就好写&#xff0c;理不清思路就唉。。 递归法&#xff1a; 1. 确定函数返回类型和参数&#xff1a;目标是最近公共节点&#xff0c;所以…

debian linux安装配置企业私有网盘

一、适用环境 1、中小型企业的某些部门之间经常要进行数据的交换与共享时&#xff0c;则可使用企业内部专业的服务器&#xff0c;把剩余的硬盘存储容量配置成为共享网盘的形式&#xff0c;供部门之间进行数据交换。 2、可将多个硬盘通过debian Linux系统组成软阵列的1个逻辑盘…

Scrapy框架之MongoDB聚合操作

目录 MongoDB聚合操作 聚合操作的基本语法 常用的聚合操作 管道命令之$group 按照某个字段进行分组 详解 计算集合中某个字段的平均值 常用表达式 管道命令之$match 示例 管道命令之$sort 管道命令之$skip 和 $limit 管道命令之$project MongoDB聚合操作 在…

ts:Set、Map

观看小满老师课程的随笔~ 前言 与 原生 js 中的原理和方法是相同的&#xff0c;这里只是用了 ts 中的 强类型 一、Set 天然去重&#xff0c;引用类型除外增删改查&#xff1a;add、has、delete、clear循环&#xff1a;forEach、entries、keys、for...of(内置的有iterator迭代…

CCF-CSP真题《202305-2 矩阵运算》思路+python,c++满分题解

想查看其他题的真题及题解的同学可以前往查看&#xff1a;CCF-CSP真题附题解大全 试题编号&#xff1a;202305-2试题名称&#xff1a;矩阵运算时间限制&#xff1a;5.0s内存限制&#xff1a;512.0MB问题描述&#xff1a; 题目背景 Softmax(QKTd)V 是 Transformer 中注意力模块的…

javac命令编译.java源文件报错“编码GBK的不可映射字符“

原因在于.java源文件编码方式是UTF-8 二cmd DOS窗口编码格式是GBK 解决办法 javac -encoding UTF-8 *.java

Kettle 实现动态表查询

文章目录 前言动态表名查询数据 :一、获取表名1、新建一个转换getTableName&#xff0c;拖入获取系统信息&#xff0c;字段选择&#xff0c;设置变量2、打开 获取系统信息 编辑界面&#xff0c;填写名称&#xff0c;点击类型选择要获取的信息类型3、打开字段选择&#xff0c;选…

深蓝学院C++基础与深度解析笔记 第 9 章 序列与关联容器

第 9 章 序列与关联容器 1. 容器概述 A、容器&#xff1a; 一种特殊的类型&#xff0c;其对象可以放置其它类型的对象&#xff08;元素&#xff09; – 需要支持的操作&#xff1a;对象的添加、删除、索引、遍历 – 有多种算法可以实现容器&#xff0c;每种方法各有利弊B、容…

nvdiffrecmc在Windows上的配置及使用

nvdiffrecmc是NVIDIA研究院开源的项目&#xff0c;源代码地址&#xff1a;https://github.com/NVlabs/nvdiffrecmc&#xff0c;论文为《Shape, Light, and Material Decomposition from Images using Monte Carlo Rendering and Denoising》&#xff0c;使用Monte Carlo渲染和去…

为什么有些Buck-Boost芯片没有输出负压?

大家好&#xff0c;这里是大话硬件。 今天分享一篇和Buck-Boost拓扑相关的问题&#xff0c;也是在最开始接触Buck-Boost芯片时&#xff0c;就在内心产生了疑问。 在开始学习DC-DC拓扑时&#xff0c;很多资料都说&#xff0c;非隔离型的DC-DC拓扑常见的有3种&#xff0c;分别是…

C语言进阶---文件操作

1、什么是文件 磁盘上的文件是文件 但是在程序设计中&#xff0c;我们一般谈的文件有两种&#xff1a;程序文件、数据文件。&#xff08;从文件功能的角度来分类的&#xff09;。 1.1、程序文件 包括源程序文件&#xff08;后缀为.c&#xff09;&#xff0c;目标文件&#x…

若依(ruoyi-cloud)脚手架解读,一篇精通,包票上手~

视频教程传送门&#xff1a; 基于SpringCloud Alibaba技术栈&#xff0c;若依微服务版(RuoYi-Cloud)脚手架入门精解&#xff0c;保证上手那种~_哔哩哔哩_bilibili基于SpringCloud Alibaba技术栈&#xff0c;若依微服务版(RuoYi-Cloud)脚手架入门精解&#xff0c;保证上手那种~…

vscode 插件系统的运行机制!

做vscode二次开发有一段时间了&#xff0c;平时都是任务比较重&#xff0c;最近有时间做下总结&#xff0c;详细的讲解下vscode 插件系统的运行机制&#xff0c;vscode做为最受欢迎的编辑器&#xff0c;有着庞大的插件市场。其插件系统确实很复杂&#xff0c;文章很长&#xff…

构建交互式数据集展示:Gradio的Dataset模块详解

❤️觉得内容不错的话&#xff0c;欢迎点赞收藏加关注&#x1f60a;&#x1f60a;&#x1f60a;&#xff0c;后续会继续输入更多优质内容❤️ &#x1f449;有问题欢迎大家加关注私戳或者评论&#xff08;包括但不限于NLP算法相关&#xff0c;linux学习相关&#xff0c;读研读博…