静态时序分析:SDC约束命令set_output_delay详解

news2024/7/4 1:46:42

相关阅读

静态时序分析icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/category_12567571.html?spm=1001.2014.3001.5482


目录

指定延迟值

指定端口、引脚列表

指定参考时钟

简单使用

指定时钟下降沿

指定参考端口、引脚

包含源、网络延迟

指定电平敏感

指定上升、下降沿

指定最大、最小条件

指定不覆盖添加延迟


        本章将讨论使用set_output_delay命令对输出端口(或时序路径终点引脚)的约束。首先需要说明的是,在进行静态时序分析时,任何一条时序路径都需要有约束,约束指的是时序路径的起点(发射触发器)和终点(捕获触发器)都有时钟信号的控制(对于典型时序路径而言)。但是对于起点是输入端口(或时序路径起点引脚)、终点是输出端口(或时序路径终点引脚)的时序路径而言,没有显然的发射触发器、捕获触发器,因此需要使用set_output_delay命令进行约束。

        该指令的BNF范式(有关BNF范式,可以参考以往文章)为:

​set_output_delay
    delay_value port_pin_list
    [-reference_pin pin_port_name] [-clock clock_name] [-clock_fall] [-level_sensitive]
    [-network_latency_included] [-source_latency_included]
    [-rise] [-fall]
    [-max] [-min] [-add_delay]
    //注:该命令的port_pin_list参数一定要放在delay_value参数后

指定延迟值

        延迟值指的是输出端口(或时序路径终点引脚)的数据相对参考时钟的延迟大小,这个参考时钟触发了一个假象的外部捕获触发器,模拟了从数据到达输出端口(或时序路径终点引脚)到捕获触发器有效沿到达捕获触发器的组合逻辑延迟,提供了分析输出端口(或时序路径终点引脚)到捕获触发器时序路径的依据。与set_input_delay命令不同的是,set_output_delay的延迟值中应包含到捕获触发器的建立/保持时间,这一点在后面会说明。

指定端口、引脚列表

         port_pin_list指定了添加输出延迟的端口和引脚,如果指定的对象是引脚,则该引脚所属的单元会被设置为size only,以便综合时进行驱动能力优化。一般情况下,只会对输出端口添加输出延迟,在某些特殊情况下(非典型时序路径),会对引脚添加输出延迟,后面我们只考虑输出端口。

指定参考时钟

        -clock选项指定了参考时钟,它可以是一个虚拟时钟(无源对象),也可以是一个有源对象的时钟。如果不指定-clock选项,则表示这条时序路径是一条非典型时序路径。在现在的设计中,一般都需要指定-clock选项。

简单使用

        在理解了上面三项后,便可以使用简单的set_output_delay命令了,以图1所示的简单D触发器为例。

图1 D触发器的例子

        首先使用create_clock命令以clk端口为源对象创建一个周期为10的时钟。 

create_clock -period 10 [get_port clk]

        我们可以首先看一下D触发器的D引脚到输出端口d的时序报告,如图2所示。 

图2 建立时间时序报告

        可以从报告中看到, 由于输出端口d没有被时钟约束,因此无法进行时序路径的建立时间分析,报告的最后也显示了(Path is unconstrained)。 

        接着我们使用set_output_delay命令在输出端口d上添加一个输出延迟,参考时钟为clk,此时的时序报告如图3所示。 

set_output_delay 0.5 -clock [get_clock clk] [get_port d]

图3 建立时间时序报告

        我们的目的是模拟一个假象的捕获触发器,并满足其建立时间,但由于DC并不知道这个假象的捕获触发器的建立时间,所以需要将建立时间也包含在输出延迟值中,例如此时的输出延迟值0.5可能是外部组合逻辑0.45和假象的捕获触发器的建立时间0.05之和。

        可以从时序报告中看出,d端口的output external delay此时拥有延迟值0.5。可以使用report_port -verbose命令报告端口延迟,如图4所示。 

图4 输出端口延迟报告

指定时钟下降沿

        -clock_fall选项指定了假象的外部发射触发器由下降沿触发。在默认情况下,假象的外部发射触发器由上升沿触发,如图3中的(rise edge)显示的那样。

        下面我们在输出端口d上添加一个输出延迟,参考时钟为clk,指定时钟下降沿,如图5所示,此时的时序报告如图6所示。

set_output_delay 0.6 -clock_fall -clock [get_clock clk] [get_port d]

图5 输出端口延迟报告

图6 建立时间时序报告

指定参考端口、引脚

        -reference_pin选项可以指定延迟的参考引脚,从图3和图6中可以看出,约束端口的参考时钟的clock network latency为0(这是因为我们还没有给参考时钟设置任何延迟),但如果使用了这个选项则clock network latency会包括参考时钟传播到参考引脚的延迟:如果参考时钟是传播时钟,则是源延迟加时钟传播到参考引脚的网络延迟;如果参考时钟是理想时钟,则是源延迟加指定的网络延迟(这是默认的),下面进行举例说明。

        我们给输出端口d设置一个参考端口clk,参考时钟依然为clk,并给时钟clk添加源延迟,如图7所示,注意其中的输出端口d关联的两个clk对象,其中一个是时钟clk,另一个是端口clk。此时的时序报告如图8所示。

set_output_delay 0.7 -reference_pin [get_port clk] -clock clk [get_port d]
set_clock_latency 0.1 -source [get_clock clk]

图7 输出端口延迟报告

图8 建立时间时序报告

        可以看到,此时的clock network delay包含了时钟clk的源延迟。最后需要注意的是,-reference_pin选项不能和即将谈到的-network_latency_included和-source_latency_included选项一起使用。 

包含源、网络延迟

        默认情况下,在进行端口的时序分析时,参考时钟的延迟会被考虑进来。对于理想时钟,延迟包括了源延迟和网络延迟;对于传播时钟,延迟只包括了源延迟,下面对此举例说明。

        首先使用下面的命令,设置时钟clk的网络延迟为0.05,目前它拥有1源延迟和0.05网络延迟。

set_clock_latency 0.05 [get_clock clk]

图9 建立时间时序报告

        从时序报告中可以看出,clock network delay包含了时钟clk的源延迟1+网络延迟0.05。下面我们使用-network_latency_included选项表示时钟的网络延迟已经被包含在delay_value中了,则STA时就不会重复计算网络延迟,如图10、图11所示。

set_output_delay 0.6 -network_latency_included -clock [get_clock clk] [get_port d]

图10 输出端口延迟报告

图11 建立时间时序报告 

        图11中展示的时序报告显示捕获时钟的clock network latency只包含了时钟clk的源延迟,而没有包含网络延迟,可以理解为网络延迟被包括在输出延迟0.6中,原本想指定的输出延迟是0.65,因为要包含了网络延迟而减了0.05(捕获时钟的网络延迟会使时序分析更容易满足,映射到输出延迟就是输出延迟减小了)。

        注意,-network_latency_included选项只能对理想时钟有效,因为默认情况下,传播时钟的clock network latency本就只包含源延迟(除非使用-reference_pin,但-reference_pin不能与这两个选项一起使用)。

        -source_latency_included选项与-network_latency_included选项的用法相似,不同的是,其对理想时钟和传播时钟都有用(很好理解,因为在任何情况下,源延迟是两类时钟共有的)。

指定电平敏感

        -level_sensitive选项指定使用假象的外部捕获锁存器,这允许针对锁存器时序进行特殊的分析。如果不指定该选项,则默认使用假象的外部捕获触发器。 

指定上升、下降沿

        -rise选项用于指定延迟值作用于端口的上升沿、-fall选项用于指定延迟值作用于端口的下降沿。如果这两个选项都没有指定,延迟同时作用于时钟的上升沿和下降沿(相当于它们同时指定)。

        下面的命令改变输出端口d的上升沿输出延迟为0.8,如图12所示。此时的时序报告如图13所示。

set_output_delay 0.8  -rise -clock [get_clock clk] [get_port d]

图12 输出端口延迟报告

图13 建立时间时序报告

  

指定最大、最小条件

        -max选项用于指定延迟值作用于最大路径时序分析(一般情况下,这指建立时间分析),-min选项用于指定延迟值作用于最小路径时序分析(一般情况下,这指建立时间分析)。如果这两个选项都没有指定,延迟同时作用于最大路径和最小路径时序分析(相当于它们同时指定)。

·        下面的命令改变输出端口d的最大输出延迟为0.9,最小输出延迟为0.5,如图14所示。此时的建立、保持时序报告如图15、图16所示。

set_output_delay 0.9 -max -clock [get_clock clk] [get_port d]
set_output_delay 0.5 -min -clock [get_clock clk] [get_port d]

图14 输出端口延迟报告

图15 建立时间时序报告 

图16 保持时间时序报告 

        我们的目的是模拟一个假象的捕获触发器,并满足其保持时间,但由于DC并不知道这个假象的捕获触发器的捕获时间,所以需要将保持时间也包含在输出延迟值中,例如此时的输出延迟值0.5可能是外部组合逻辑0.55减去假象的捕获触发器的建立时间0.05,因为外部组合逻辑使得保持时间更容易满足,即减少信号需要保持的时间。

指定不覆盖添加延迟

        -add_delay选项用于以不覆盖和自动推断的形式添加延迟,下面将举例说明。

        假设如图17所示,已经给输出端口d设置了最小条件的输出延迟,现在如果再添加一个参考时钟为clk1的最小条件的上升沿的输出延迟,则会出现图18所示的覆盖情况。

图17 输出端口延迟报告

图18 延迟覆盖情况

        这不仅仅出现在不同时钟间,就连相同时钟的不同触发沿(是否指定clock_fall选项,也会出现覆盖)。

        如果使用-add_delay选项,则图18、图19的覆盖情况消失,如图20、图21所示。        

图20 延迟不覆盖情况

图21 延迟不覆盖情况 

        -add_delay选项还有一个作用是可以自动推断最差的延迟并覆盖。比如对于图21,如果指定参考时钟为clk的最小条件的输出延迟为0.6并指定-add_delay,则会被忽视,因为0.6大于0.5,这是一个更宽松的最小条件,这是不使用-add_delay选项的命令所不具有的功能。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1511298.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

DVWA靶场-暴力破解

DVWA是一个适合新手锻炼的靶机,是由PHP/MySQL组成的 Web应用程序,帮助大家了解web应用的攻击手段 DVWA大致能分成以下几个模块,包含了OWASP Top 10大主流漏洞环境。 Brute Force——暴力破解 Command Injection——命令注入 CSRF——跨站请…

网工内推 | 数据库工程师,最高35k*14薪,OCP认证优先,带薪年假

01 洛轲智能 招聘岗位:数据库工程师 职责描述: 1. 负责数据库备份及恢复策略制定; 2. 负责数据库性能分析及调优; 3. 负责数据库相关项目的方案制定、评测、投产实施和维护管理; 4. 数据库日常运维工作: -…

手撸nano-gpt

nano GPT 跟着youtube上AndrejKarpathy大佬复现一个简单GPT 1.数据集准备 很小的莎士比亚数据集 wget https://raw.githubusercontent.com/karpathy/char-rnn/master/data/tinyshakespeare/input.txt 1.1简单的tokenize 数据和等下的模型较简单,所以这里用了个…

解析Perl爬虫代码:使用WWW__Mechanize__PhantomJS库爬取stackoverflow.com的详细步骤

在这篇文章中,我们将探讨如何使用Perl语言和WWW::Mechanize::PhantomJS库来爬取网站数据。我们的目标是爬取stackoverflow.com的内容,同时使用爬虫代理来和多线程技术以提高爬取效率,并将数据存储到本地。 Perl爬虫代码解析 首先&#xff0…

神经网络线性量化方法简介

可点此跳转看全篇 目录 神经网络量化量化的必要性量化方法简介线性对称量化线性非对称量化方法神经网络量化 量化的必要性 NetworkModel size (MB)GFLOPSAlexNet2330.7VGG-1652815.5VGG-1954819.6ResNet-50983.9ResNet-1011707.6ResNet-15223011.3GoogleNet271.6InceptionV38…

【机器学习300问】34、决策树对于数值型特征如果确定阈值?

还是用之前的猫狗二分类任务举例(这个例子出现在【机器学习300问】第33问中),我们新增一个数值型特征(体重),下表是数据集的详情。如果想了解更多决策树的知识可以看看我之前的两篇文章: 【机器…

spring启动时如何自定义日志实现

一、现象 最近在编写传统的springmvc项目时,遇到了一个问题:虽然在项目的web.xml中指定了log4j的日志启动监听器Log4jServletContextListener,且开启了日志写入文件,但是日志文件中只记录业务代码中我们声明了日志记录器的日志&a…

CPU设计实战-协处理器访问指令的实现

目录 一 协处理器的作用与功能 1.计数寄存器和比较寄存器 2.Status寄存器 3.Cause寄存器(标号为13) 4.EPC寄存器(标号为14) 5.PRId寄存器(标号为15) 6.Config 寄存器(标号为16)-配置寄存器 二 协处理器的实现 三 协处理器访问指令说明 四 具体实现 1.译码阶段 2.执行…

3/12/24交换排序、插入排序、选择排序、归并排序

目录 交换排序 冒泡排序 快速排序 插入排序 直接插入排序 选择排序 简单选择排序 堆排序 归并排序 各种排序的时间复杂度、空间复杂度、稳定性和复杂度 快排真题2016 选排真题2022 排序算法分为交换类排序、插入类排序、选择类排序、归并类排序。 交换排序 交换排…

【智能算法】哈里斯鹰算法(HHO)原理及实现

目录 1.背景2.算法原理2.1算法思想2.2算法过程 3.代码实现4.参考文献 1.背景 2019年,Heidari 等人受到哈里斯鹰捕食行为启发,提出了哈里斯鹰算法(Harris Hawk Optimization, HHO)。 2.算法原理 2.1算法思想 根据哈里斯鹰特性,HHO分为探索-…

新智元 | Stable Diffusion 3技术报告流出,Sora构架再立大功!生图圈开源暴打Midjourney和DALL·E 3?

本文来源公众号“新智元”,仅用于学术分享,侵权删,干货满满。 原文链接:Stable Diffusion 3技术报告流出,Sora构架再立大功!生图圈开源暴打Midjourney和DALLE 3? 【新智元导读】Stability AI放…

chrome浏览器插件content.js和background.js还有popup都是什么,怎么通讯

popup 在用户点击扩展程序图标时(下图中的下载图标),都可以设置弹出一个popup页面。而这个页面中自然是可以包含运行的js脚本的(比如就叫popup.js)。它会在每次点击插件图标——popup页面弹出时,重新载入。…

如何阅读“计算机界三大神书”之一 ——SICP

《计算机程序的构造和解释》(Structure and Interpretation of Computer Programs,简记为SICP)是MIT的基础课教材,出版后引起计算机教育界的广泛关注,对推动全世界大学计算机科学技术教育的发展和成熟产生了很大影响。…

plantUML使用指南之序列图

文章目录 前言一、序列图1.1 语法规则1.1.1 参与者1.1.2 生命线1.1.3 消息1.1.4 自动编号1.1.5 注释1.1.6 其它1.1.7 例子 1.2 如何画好 参考 前言 在软件开发、系统设计和架构文档编写过程中,图形化建模工具扮演着重要的角色。而 PlantUML 作为一种强大且简洁的开…

springboot265基于Spring Boot的库存管理系统

基于Spring Boot库存管理系统 Inventory Meanagement System based on Spring Boot 摘 要 当下,如果还依然使用纸质文档来记录并且管理相关信息,可能会出现很多问题,比如原始文件的丢失,因为采用纸质文档,很容易受潮…

《vtk9 book》 官方web版 第3章 - 计算机图形基础 (3 / 5)

3.8 演员几何 我们已经看到了光照属性如何控制演员的外观,以及相机如何结合变换矩阵将演员投影到图像平面上。剩下的是定义演员的几何形状,以及如何将其定位在世界坐标系中。 建模 计算机图形学研究中的一个重要主题是建模或表示物体的几何形状。…

二分查找【详解】

本期介绍🍖 主要介绍:二分查找的简单思路,为什么必须在有序的前提下才能使用二分查找,该怎么用C程序来实现二分查找,二分查找的局限性👀。 文章目录 1. 题目2. 思路3. 前提条件4. 编写程序 1. 题目 在一个有…

Android Studio开发项目——记账簿应用

项目资源: 百度网盘链接:https://pan.baidu.com/s/1zN9lrIypi1t_QpuoBcdBNQ?pwdxj5h 提取码:xj5h 项目设计内容 1.基本功能描述 电子记账本是一种在线财务管理工具,用于帮助用户记录和管理他们的收入与支出。以下是电…

基于YOLOv8/YOLOv7/YOLOv6/YOLOv5的水果新鲜程度检测系统(深度学习模型+UI界面代码+训练数据集)

摘要:开发水果新鲜程度检测系统对于提高农业产量和食品加工效率具有重大意义。本篇博客详细介绍了如何利用深度学习构建一个水果新鲜程度检测系统,并提供了完整的实现代码。该系统基于强大的YOLOv8算法,并结合了YOLOv7、YOLOv6、YOLOv5的对比…

ChatGPT 结合实际地图实现问答式地图检索功能基于Function calling

ChatGPT 结合实际地图实现问答式地图检索功能基于Function calling ChatGPT结合实际业务,主要是研发多函数调用(Function Calling)功能模块,将自定义函数通过ChatGPT 问答结果,实现对应函数执行,再次将结果…