quartus工具篇——ROM ip核

news2024/9/30 1:40:31

quartus工具篇——ROM ip核

1、ROM简介

FPGA中的ROM(Read-Only Memory)是一种只读存储器,主要用来存储固化的初始化配置数据。FPGA ROM的特性主要有:

  1. 预编程初始化 - ROM在FPGA编程时就已经写入了初始值,这些值在整个工作周期保持不变。
  2. 初始化配置 - ROM通常用来存储FPGA的初始配置文件或者开机激励向量。
  3. 单端口访问 - ROM通常只提供数据输出接口,不可写入。
  4. 高密度 - 利用定制流程可以实现非常高密度的ROM。
  5. 封闭保密 - ROM存储的数据无法被读取,保证了配置或代码的保密性。
  6. 提高可靠性 - ROM存储更加稳定可靠,不会像RAM丢失数据。
  7. 加速启动 - ROM存储的初始化文件可以加速FPGA的启动配置。
  8. 降低成本 - ROM的制造成本低于RAM。

在许多需求可靠启动和保密的应用中,FPGA通过内置ROM提供了有效的特性实现。它主要作为一种只读非易失的初始化存储器使用。

2、ROM IP核的配置

在quartus右上栏搜索ROM,双击打开并命令,如下图:

image-20230724211404243

设置内存单元大小和需要的内存单元

image-20230724211745658

按照下图进行配置

image-20230724212209636

添加mif文件

image-20230724212259822

勾上选项,进行生成模块实例文件

image-20230724212340271

3、mif文件生成

部分简单的Mif文件我们 可以自己插入数据,比较复杂的就需要应用程序进行生成

简单的数据:

在quartus中生成mif文件

image-20230724212546082

和我们在ip核配置的内存大小和内存个数要相同

image-20230724212601184

自行编辑文件,如下图我编辑的结果

image-20230724212714707

c语言生成Mif文件,我这里gpt生成的效果可能不好,可以自行去网上找一个

#include <stdio.h>

int main() {

  FILE *fptr;
  fptr = fopen("ram_init.mif","w");
  
  fprintf(fptr,"WIDTH=16;\n"); 
  fprintf(fptr,"DEPTH=128;\n\n");

  int i;
  for(i=0; i<128; i++) { 
    fprintf(fptr,"%02X : %04X;\n", i, i*2);
  }

  fclose(fptr);

  return 0;
}

mif文件弄好后重新编辑ip核即可

3、仿真代码编写

// Copyright (C) 2018  Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Intel Program License 
// Subscription Agreement, the Intel Quartus Prime License Agreement,
// the Intel FPGA IP License Agreement, or other applicable license
// agreement, including, without limitation, that your use is for
// the sole purpose of programming logic devices manufactured by
// Intel and sold by Intel or its authorized distributors.  Please
// refer to the applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "07/24/2023 19:18:12"
                                                                                
// Verilog Test Bench template for design : t5
// 
// Simulation tool : ModelSim-Altera (Verilog)
// 

`timescale 1 ns/ 1 ns
module t5_vlg_tst();

parameter SYS_CLK = 20;
reg clk;
wire[7:0] read_data;
reg[4:0] address = 1'b0;
                    
always #(SYS_CLK/2) clk = ~clk;
integer i;
initial begin
    clk = 1'b0;
    for(i = 0;i<31;i=i+1)begin
        #(SYS_CLK*2)
        address = address+1'b1;
    end
    #(20*SYS_CLK);
    $stop;
end                                                                             

ROM ROM_inst (
    .address ( address ),
    .clock ( clk ),
    .q ( read_data )
    );
                                   
endmodule

4、仿真结果

image-20230724212955825

5、结果

ROM配置较为简单,但也是非常实用的,由于本人水平有限,只能作为自己一个复习的标准,可能描述的不是很详细,大家可以观看这个视频,描述的很详细

05_IP核之ROM使用讲解_哔哩哔哩_bilibili

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/789125.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

MySQL——主从复制

1.理解MySQL主从复制原理。 2.完成MySQL主从复制。 1.理解MySQL主从复制原理。 1&#xff09;、MySQL支持的复制类型 &#xff08;1&#xff09;、基于语句&#xff08; statement &#xff09;的复制 在主服务器上执行SQL 语句&#xff0c;在从服务器上执行同样的语句。 My…

Java日志框架JUL、Log4j、logback、log4j2使用

随着软件系统的发展系统业务越来越多、逻辑越来越复杂、代码量越来越多&#xff0c;伴随着容易出现的bug也会越来越多&#xff0c;不论是开发测试阶段还是生产阶段都需要将这些错误及时的捕捉记录下来&#xff0c;方便解决这些问题&#xff0c;否则针对出现的异常无从下手&…

无法定位程序输入点:CreateEventW于动态链接库api-ms-win-core-synch-l1-2-0.dll(未解决)

错误如图&#xff1a; 找了个MSVBCRT.AIO.2020.04.10.X86X64.exe修改一下&#xff0c;没用。 搜索了一下&#xff0c;实际上这个文件有很多&#xff1a;

MonoBehaviour 组件

MonoBehaviour 组件是指继承了 MonoBehaviour 类的脚本组件&#xff0c;可以附加到游戏对象上&#xff0c;用于控制游戏对象的行为和交互。 MonoBehaviour 类是 Unity 中的一个基类&#xff0c;提供了许多方法和事件&#xff0c;用于处理输入、渲染、碰撞、协程等操作。 Unity…

Spring Boot实践

一、Spring Boot简介 Spring Boot是一个基于Spring框架的快速开发应用程序的工具。它提供了一种快速、方便的方式来创建基于Spring的应用程序&#xff0c;而无需繁琐的配置。Spring Boot通过自动配置和约定大于配置的方式&#xff0c;使得开发者可以更加专注于业务逻辑的实现&…

STM32MP157驱动开发——按键驱动(阻塞与非阻塞)

“阻塞与非阻塞 ”机制&#xff1a; 阻塞&#xff1a; 使用 休眠唤醒机制&#xff0c;read函数会休眠&#xff0c;是阻塞的使用 poll 时&#xff0c;如果传入的超时时间不为 0&#xff0c;表示 read函数会休眠&#xff0c;这种访问方法也是阻塞的。 非阻塞 使用 poll 时&am…

王道考研数据结构--4.3链队列

目录 前言 1.链队列的定义 2.链队列的结构 3.链队列的操作 3.1定义链队列 3.2初始化 3.3入队 3.4出队 3.5遍历求表长 3.6清空&#xff0c;销毁 4.完整代码 前言 日期&#xff1a;2023.7.25 书籍&#xff1a;2024年数据结构考研复习指导&#xff08;王道考研系列&…

消融实验(Ablation experiment)

最近读论文遇到消融实验&#xff08;Ablation experiment&#xff09;这个概念。 在论文《Deep Snake for Real-Time Instance Segmentatione》中5.2. Ablation studies 。 消融实验类似于“控制变量法”。 假设在某目标检测系统中&#xff0c;使用了A&#xff0c;B&#xff0…

语言尽头的奇幻旅程:如何求解最后一个单词的长度?

本篇博客会讲解力扣“58. 最后一个单词的长度”的解题思路&#xff0c;这是题目链接。 以示例2为例&#xff1a;s " fly me to the moon " 首先&#xff0c;找到字符串末尾的\0。s一开始指向首字符f&#xff0c;我们从这个位置开始&#xff0c;向后遍历&#xff0c…

20.1 HTML 介绍

1. W3C组织 万维网联盟(World Wide Web Consortium, W3C): 是一个国际性的标准化组织, 致力于开发和推广Web标准.W3C的使命是通过制定和推广Web技术标准, 促进Web的长期发展和互操作性, 它由许多组织和个人组成, 包括浏览器制造商, 软件开发商, 网络服务提供商, 学术机构和个…

【爬虫逆向案例】某道翻译js逆向—— sign解密

声明&#xff1a;本文只作学习研究&#xff0c;禁止用于非法用途&#xff0c;否则后果自负&#xff0c;如有侵权&#xff0c;请告知删除&#xff0c;谢谢&#xff01; 【爬虫逆向案例】某道翻译js逆向—— sign解密 1、前言2、步骤3、源码4、号外 1、前言 相信各位小伙伴在写…

【Linux命令200例】chown修改文件或目录的所有者

&#x1f3c6;作者简介&#xff0c;黑夜开发者&#xff0c;全栈领域新星创作者✌&#xff0c;2023年6月csdn上海赛道top4。 &#x1f3c6;本文已收录于专栏&#xff1a;Linux命令大全。 &#x1f3c6;本专栏我们会通过具体的系统的命令讲解加上鲜活的实操案例对各个命令进行深入…

软件测试测试分类(重点)

目录 按照测试对象划分&#xff08;了解&#xff09; ①界面测试 ②可靠性测试&#xff08;可用性&#xff09; ③容错性测试 容错性和可靠性之间的区别 ④文档测试 ⑤兼容性测试 ⑥易用性测试 ⑦安装、卸载测试 ⑧安全测试 ⑨性能测试 内存泄露测试 按照是否查看…

cocosCreator 之 Button

版本&#xff1a; 3.4.0 参考&#xff1a;Button组件 简介 Button组件主要用于响应用户的点击操作&#xff0c;属性检查器中的示意图&#xff1a; Button组件的主要属性有&#xff1a; Interactable 表示按钮是否可交互&#xff0c;如果未勾选表示禁用Transition表示按钮状态…

EAP设备自动化控制系统在设备数采和控制方面的优势

随着科技的不断进步和工业自动化的发展&#xff0c;EAP&#xff08;Equipment Automation Program&#xff09;设备自动化控制系统在各个行业中扮演着越来越重要的角色。作为连接MES&#xff08;Manufacturing Execution System&#xff09;和设备层的沟通桥梁&#xff0c;EAP系…

周训龙老兵参观广西森林安全紧急救援装备演练

7月21日上午&#xff0c;周训龙老兵参观广西紧急救援促进中心在南宁市青秀山举行森林安全紧急救援装备演练&#xff0c;多功能水罐消防车、无人救援机等先进设备轮番上阵&#xff0c;展示了广西应对突发事件的紧急救援速度和水平。广西壮族自治区应急厅不情愿参此次演练活动。 …

平板用的触控笔什么牌子好?ipad第三方电容笔推荐

随着技术的发展&#xff0c;出现了各种各样的平板电容笔。一支好的电容笔&#xff0c;不但可以极大地提升我们的工作效率&#xff0c;还可以极大地提升我们的学习效果。平替的电容笔&#xff0c;无论是在技术方面&#xff0c;还是在质量方面&#xff0c;都还有很大的提升空间&a…

Visio文件编辑查看工具Visio Viewer for Mac

Visio Viewer for Mac可以打开和查看Visio文件&#xff08;.vsd、.vdx和.vsdm文件&#xff09;。它具有简单易用的用户界面&#xff0c;可以快速加载和显示Visio文件。此外&#xff0c;它还支持导出文件为PDF、PNG、JPEG等格式&#xff0c;方便用户进行文件转换和共享。 Visio…

spring初识

一、如何快速创建一个spring项目&#xff1f; 1. 首先在网页https://start.spring.io/中进行项目配置 2. 将下载下来的安装包进行解压&#xff0c;并在IDEA中打开项目。 3. 在application.propertie文件中进行数据库连接的相关配置 spring.datasource.urljdbc:mysql://127.0.0…

【ICCV2023】 MRN:一种多路复用路由网络的增量多语言文本识别方法

一句话总结 这项工作作为文本识别领域在增量学习设定下的第一次尝试。我们提出了增量多语言文本识别任务&#xff0c;以及该场景独有的挑战&#xff1a;回放不平衡问题&#xff0c;实验结果和可视化表明模型成功解决了这一问题。 相关资源 论文链接&#xff1a; arxiv.org/a…