三菱FX以太网模块设置ModbusTCP通讯

news2024/10/6 6:30:20

大家好,今天我们要来聊一聊转以太网捷米特JM-ETH-FX,这款设备内部集成了ModbusTCP通讯服务器,这意味着什么?ModbusTCP客户机,比如支持ModbusTCP的组态软件、OPC服务器、PLC以及使用高级语言开发的实现ModbusTCP客户机软件等等,可以直接访问三菱FX系列PLC的内部数据区。

Modbus协议地址在内部已经被默认映射到FX系列PLC的地址区。我们来看一下它的功能,包括FC1、FC2、FC3、FC5、FC6和FC16。这意味着我们的PLC可以更加便捷地进行通讯和数据交换,提高生产效率。如果你正在寻找一款高效、稳定的通讯设备,那么转以太网捷米特JM-ETH-FX绝对是一个不错的选择。

ModbusTCP协议帧格式:

事务处理标识符

事务处理标识符

协议标识符

协议标

识符

长度字段(高字节)

长度字段

(低字节)

从站地

功能号

数据地址(低字节)

指令数(高字节)

指令数(低字节)

0x0

0x0

0x0

0x0

0x0

后面的字节数

1、地址映射表

Modbus从站地

FX系列PLC内

部软元件

数据

类型

计算公式

功能号

最大指令数

000001~

输出线圈:Y0~

Ymn=000001+m*8+n    ①

FC1(读线圈)

FC5(写线圈)

FC1:512FC5:1

005001~

特殊:M8000~

M8m=005001+m   ②

006001~

定时器线圈:T0~

Tm=006001+m

007001~

计数器线圈:C0~

Cm=007001+m

010001~

线圈:M0~

Mm=010001+m

030001~

线圈:S0~

Sm=030001+m

100001~

输入:X0~

Xmn=100001+m*8+n    ③

FC2(读输入)

512

400001~

定时器:T0~

Tm=400001+m

FC3(读寄存器)

FC16(写寄存器)

FC6(写单一寄存器)

FC3:125FC16:125FC6:1

401001~

计数器:C0~

Cm=401001+m

401301~

特殊:D8000~

D8m=401301+m   ④

402001~

资料暂存器:D0~

Dm=402001+m

420001~

文件寄存器:R0~

Rm=420001+m ⑤

说明:

①、其中m表示Y线圈标识号的百十位,n表示Y线圈标识号的个位,如Y37时m=3,n=7;Y112时m=11,n=2;

②、其中m表示M线圈标识号去掉8000的剩余数,如M8212时m=212;

③、其中m表示输入X标识号的百十位,n表示Y线圈标识号的个位,如X37:m=3,n=7;X111:m=11,n=7;

④、其中m表示特殊功能寄存器D标识号去掉8000的剩余数,如M8120时m=120;

⑤、此R寄存器,仅当FX3U机型(存在R寄存器的PLC机型)时可用,注意Modubs地址映射成6位;

2、用ModScan32测试

解压产品光盘\使用手册\通讯测试软件下的modscan2_cr.rar。

运行ModScan32软件。

选择菜单Connection/Connect,选择RemoteTCP/IPServer,输入模块的IP地址,Service端口为502;点击[OK]按钮,

在子窗口“ModSca1”中设置DeviceID为PLC的站地址(如1),功能号选择03:HOLDINGREGISTER,Address=1301,Length=100。

子窗口数据区显示401301~401400的16进制数据,其对应于三菱FX系列PLC的特殊寄存器D8000到D8100的数值,

双击子窗口数据区的数据可以修改数值。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/767133.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

改善压降过大的六种方法

改善压降过大的六种方法 当进行完压降仿真完之后,如果结果都是PASS的话是我们最希望看到的,但是时常会因为某些原因,导致压降不通过,下面介绍几种弥补压降的几种措施 方法一 靠近用电端 如下图,电源放的离用电端太远将电源模块尽量靠近用电端放置,尤其是小电压大电流的电…

flink1.16读取hive数据存到es 本地和服务器上遇到的问题和解决思路

话不多说 直接上官网 Overview | Apache Flink hive版本 3.1.3000 ​ hadoop 版本 3.1.1.7.1.7 ​ flink 1.16.2 ​ 代码 很简单我还是贴下 import com.fasterxml.jackson.databind.ObjectMapper import com.typesafe.config.{Config, ConfigFactory} import org.apache…

[SQL系列] 从头开始学PostgreSQL 约束连接

上一篇的增删改查和基础筛选操作 [SQL系列] 从头开始学PostgreSQL 增删改查_Edward.W的博客-CSDN博客https://blog.csdn.net/u013379032/article/details/131775853这篇大多是基于单张表的一些简单操作,但是我们经常要操作多张表一起查询,有些表的位置还…

HashSet 、LinkedHashSet 源码级详解

Set 集合类体系如下: HashSet -- 无序、不重复、无索引 LinkedHashSet -- 有序、不重复、无索引 TreeSet -- 可排序、不重复、无索引 HashSet HashSet 底层采用 哈希表 存储数据 哈希表组成 JDK8 之前 -- 数组 链表 JDK8 之后 -- 数组 链表 红黑树 一开始…

GitHub 上都有哪些值得关注学习的 javascript开源项目?

前言 下面是我整理的一些关于GitHub上的js的相关的项目,希望对你有所帮助 实用工具向 1.Exchart Star:55.6k Exchart提供了大量精美的图表,只有你想不到,没有你在它上面找不到的,同时点进相应的图标后便可以在线对它…

SpringCloud系列:负载均衡组件-Ribbon

作者平台: | CSDN:blog.csdn.net/qq_41153943 | 掘金:juejin.cn/user/651387… | 知乎:www.zhihu.com/people/1024… | GitHub:github.com/JiangXia-10… 本文一共4529字,预计阅读12分钟 前言 前面几…

【单谐波非线性振动问题求解器 GUI 】使用单个谐波表示解决 MDOF 非线性振动问题(Matlab代码实现)

💥💥💞💞欢迎来到本博客❤️❤️💥💥 🏆博主优势:🌞🌞🌞博客内容尽量做到思维缜密,逻辑清晰,为了方便读者。 ⛳️座右铭&a…

音频驱动嘴形之CodeTalker

论文发版:CVPR2023 应用: Over the discrete motion space, a temporal autoregressive model is employed to sequentially synthesize facial motions from the input speech signal, which guarantees lip-sync as well as plausible facial expres…

TOWE智能PDU是如何帮助机房安然度夏的?

最近,全国各地纷纷进入高温“火炉”模式,炎炎夏日,数据中心的工作温度不应该超过一定的限度。数据中心机房不仅要确保在高温多雨天气下安全、稳定的运维,还要承受降低企业总体运营成本的压力。这种需求下,相较于传统基…

【C++修炼之路】类和对象(下)—— 完结篇

👑作者主页:安 度 因 🏠学习社区:StackFrame 📖专栏链接:C修炼之路 文章目录 一、再谈构造函数1、初始化列表2、explicit 关键字 二、static 成员1、概念2、特性 三、友元1、友元函数2、友元类 四、内部类五…

C++笔试常用函数整理

数组 #include <vector> push_back&#xff1a;向量尾部增加一个元素 pop_back&#xff1a;删除向量中最后一个元素 empty&#xff1a;判断向量是否为空&#xff0c;若为空&#xff0c;则向量中无元素 size : 输出队列中数据元素的个数 vector初始化相关&#xff1a…

Java使用poi-tl1.9.1生成Word文档的几个小技巧

目录 前言 一、poi-tl简介 1、什么是poi-tl 2、常见的word生成对比 3、poi-tl功能点 二、poi-tl文档生成 1、模板准备 2、目标参数填充 3、生成效果 三、可能会遇到的问题 1、混合图表生成报错 2、图表参数设置技巧 总结 前言 也许在您的工作当中会碰到如下的一些场景…

python和django中安装mysqlclient失败的解决方案

在Pychram中和pip中安装mysqlclient都不成功&#xff0c;只能直接下载二进制包进行安装了&#xff0c;下载页面中根据python的版本选择对应WHL包下载&#xff0c;下载地址 mysqlclient PyPIhttps://pypi.org/project/mysqlclient/#files 通过pip命令进行安装 pip install d:\…

基于javascript的简单拖拽排序

基于javascript的简单拖拽排序 效果示例图代码示例 效果示例图 代码示例 <!DOCTYPE html> <html><head><meta charset"utf-8"><title></title><style type"text/css">* {padding: 0px;margin: 0px;box-sizing:…

网工内推 | 数通网工专场,HCNP/CCNP认证优先

01 天津海展会议展览有限公司 招聘岗位&#xff1a;网络工程师&#xff08;数通方向&#xff09; 职责描述&#xff1a; 1、负责企业整体网络架构的设计、优化、部署及网络运维管理体系的的构建&#xff1b; 2、负责企业内网、外网、有线侧、无线侧网络策略的配置及调优&…

虚拟主播动捕设备,满足不同应用领域的3d虚拟主播直播需求

随着移动互联网的普及&#xff0c;直播行业迅速发展&#xff0c;用户规模持续上升&#xff0c;一度成为平台经济领域的中流砥柱&#xff0c;其中虚拟主播成为了直播赛道中一种新的内容形式。 3d虚拟主播是通过计算机生成的数字人&#xff0c;它们可以在直播中通过虚拟主播动捕…

Vue成绩案例实现添加、删除、显示无数据、添加日期、总分均分以及数据本地化等功能

一、成绩案例 ✅✅✅通过本次案例实现添加、删除、显示无数据、添加日期、总分均分以及数据本地化等功能。 准备成绩案例模板&#xff0c;我们需要在这些模板上面进行功能操作。 <template><div class"score-case"><div class"table">…

材料学博士生CSC资助德国联陪归来谈体会

作者许潇洒&#xff0c;为西北工业大学材料学院材料学专业博士研究生&#xff0c;受CSC高水平大学公派研究生项目&#xff0c;于2022年至2023年赴德国德累斯顿工业大学开展了为期12个月博士联合培养学习。访学归来&#xff0c;其撰文谈感受&#xff0c;知识人网小编转载如下&am…

润和软件与华秋达成生态共创合作,共同推动物联网硬件创新

7月11日&#xff0c;在2023慕尼黑上海电子展现场&#xff0c;江苏润开鸿数字科技有限公司(以下简称“润开鸿”)与深圳华秋电子有限公司(以下简称“华秋”)签署了生态共创战略合作协议&#xff0c;共同推动物联网硬件生态繁荣发展。当前双方主要基于润开鸿的硬件产品及解决方案开…

如何通过smardaten无代码开发平台快速搭建数据中台?

目录 一、数据中台是什么&#xff1f;二、数据中台的特点1、数据中台需要具备哪些特性&#xff1f;2、数据中台需要提供哪些服务&#xff1f; 三、数据中台搭建工具四、如何用无代码快速搭建数据中台1、多源数据接入2、数据流&#xff08;数据集成&#xff09;3、数据资产管理4…