基于CAS操作的atomic原子类型

news2024/9/23 15:29:02

原子操作

C A S (compare And Swap)也叫比较交换,是一种无锁原子算法,映射到操作系统就是一条cmpxchg硬件汇编指令(保证原子性),其作用是让CPU将内存值更新为新值,但是有个条件,内存值必须与期望值相同,并且C A S操作无需用户态与内核态切换,直接在用户态对内存进行读写操作(意味着不会阻塞/线程上下文切换*)。它包含3个参数C A S (V,E,N),V表示待更新的内存值,E表示预期值,N表示新值,当V值等于E值时,才会将V值更新成N值,如果V值和E值不等,不做更新,这就是一次C A S的操作

在这里插入图片描述

1.原子对象间不能进行拷贝构造
2.原子对象间不能进行赋值

原子操作不单单是一个整型量原子的加一或减一

在这里插入图片描述
原子操作底层汇编实现:锁总线
在这里插入图片描述

为什么需要进行原子操作

互斥锁是比较重的,互斥锁适用于临界区代码做的事情稍稍复杂,
系统理论:CAS来保证上面++ --操作的原子特性就足够了,无锁操作并不是不加锁,只不过是加锁操作不在软件层面。

CPU和内存通信是需要通过系统总线进行,CAS就是通过像exchange/swap这种指令给系统总线加锁,当一个线程在做CPU和内存之间数据的交换,一个线程如果没有做完事,是不允许其他线程再次去使用系统总线的。

变量属于数据段,一个进程里面的线程是不同,只是栈不同。但是堆和数据段都是共享的,对于共享变量多线程是会缓存的,即就是对于一个共享变量被改变,其他线程是不能立马就看到该变量改变的
因为线程读取的都是自己的缓存。所以就需要加volatile:防止多线程对共享变量进行缓存,保证线程访问的都是原始内存中变量的值

#include<atomic>

volatile atomic_bool isReady = false;
volatile atomic_int Count = 0;
#include<iostream>
#include<thread>
#include<list>
#include<mutex>
#include<queue>//C++STL所有的容器都不是线程安全
#include<condition_variable>
#include<atomic>
using namespace std;
//

//变量属于数据段,一个进程里面的线程是不同,只是栈不同。但是堆和数据段都是共享的,
//对于共享变量多线程是会缓存的,即就是对于一个共享变量被改变,其他线程是不能立马就看到该变量改变的
//因为线程读取的都是自己的缓存。所以就需要加volatile:防止多线程对共享变量进行缓存,
//保证线程访问的都是原始内存中变量的值
volatile atomic_bool isReady = false;
volatile atomic_int Count = 0;

void task()
{
	while (!isReady)
	{
		this_thread::yield();//线程出让当前的cPu时间片,等待下一次调度
	}
	for (int i = 0; i < 100; i++)
	{
		Count++;
	}
}
int main()
{
	list<std::thread>tlist;
	for (int i = 0; i < 10; i++)
	{
		tlist.push_back(thread(task));
	}
	std::this_thread::sleep_for(std::chrono::milliseconds(100));
	isReady = true;
	
	for (thread& t : tlist)
	{
		t.join();
	}
	cout << "Count: " << Count << endl;
	return 0;
}

#if 0
std::mutex mtx;//定义互斥锁,做线程间的互斥操作
std::condition_variable cv; //定义条件变量,做线程间的同步通信操作

//生产者生产一个物品,通知消费者消费一个;消费完了,消费者再通知生产者继续生产物品
class Queue
{
public:
	void put(int val)//生产物品
	{
		//lock_guard<mutex>lock(mtx);
		unique_lock<std::mutex>lck(mtx);
		while (!que.empty())
		{
			//que不空,生产者应该通知消费者消费,消费完了再继续消费
			//生产者进程应该1.进入阻塞状态,2.并且释放mtx,消费者可以获得锁
			
			cv.wait(lck);//通过条件变量wait进入等待状态,一进入等待状态就会把锁释放
		}
		que.push(val);
		cv.notify_all();//通知其它所有的线程,我生产了一个物品,你们赶紧消费吧
		//其它线程得到该通知,就会从等待状态=》阻塞状态=》获取互斥锁才能继续执行

		cout << "生产者 生产:" << val << " 号物品" << endl;
	}
	int get()//消费物品
	{
		//lock_guard<mutex>lock(mtx);
		unique_lock<std::mutex>lck(mtx);
		while (que.empty())
		{
			//que空,消费者应该通知生产者生产
			//进程应该1.进入阻塞状态,2.并且释放mtx
			
			cv.wait(lck);//通过条件变量wait进入等待状态,一进入等待状态就会把锁释放
		}
		int val = que.front();
		que.pop();
		cv.notify_all();//通知其它线程我消费完了,赶紧生产吧

		cout << "消费者 消费:" << val << " 号物品" << endl;
		return val;
	}
private:
	queue<int>que;
};

void producer(Queue* que)
{
	for (int i = 1; i <= 10; i++)
	{
		que->put(i);
		std::this_thread::sleep_for(std::chrono::milliseconds(100));
	}
}
void consumer(Queue* que)
{
	for (int i = 1; i <= 10; i++)
	{
		que->get();
		std::this_thread::sleep_for(std::chrono::milliseconds(100));
	}
}

int main()
{
	Queue que;
	thread t1(producer,&que);
	thread t2(consumer, &que);

	t1.join();
	t2.join();

	return 0;
}
#endif

#if 0
static int ticketcount = 100;
std::mutex mtx;//全局的一把互斥锁

//模拟买票的线程函数
void sellTicket(int index)
{
	//mtx.lock();//此种加锁相当于只有一个窗口在买票
	while (ticketcount >= 1)//锁+双重判断
	{
		//mtx.lock();
		//{
			//lock_guard<mutex>lock(mtx);
			unique_lock<std::mutex>lock(mtx);
			//lock.lock();
			if (ticketcount > 0)
			{
				//临界区代码段-》原子操作=》线程间互斥操作了=》mutex
				cout << "窗口:" << index << "  卖出第:" << ticketcount << " 张票!" << endl;
				ticketcount--;
			}
			lock.unlock();
		//}
		//mtx.unlock();
		std::this_thread::sleep_for(std::chrono::milliseconds(100));
	
	}
	//mtx.unlock();
}
int main()
{
	list<std::thread>tlist;
	for (int i = 1; i <= 3; i++)
	{
		tlist.push_back(std::thread(sellTicket, i));
	}

	for (thread& t : tlist)
	{
		t.join();
	}
	cout << "所有窗口买票结束!" << endl;
	return 0;
}

#endif


#if 0
void threadHandle1()
{
	//让子线程睡眠2秒
	std::this_thread::sleep_for(std::chrono::seconds(2));

	cout << "hello thread1!" << endl;
}

int main()
{
	//创建了一个线程对象,传入一个线程函数,新线程就开始运行了
	thread t1(threadHandle1);
	//主线程等待子线程结束,主线程继续往下运行
	//t1.join();
	t1.detach();

	cout << "main run over!" << endl;
	return 0;
}
#endif

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/133304.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

站长权重在线查询,怎么查询网站权重是多少?

什么是网站权重 当站长们辛辛苦苦建立起来一个网站&#xff0c;怎么才能知道自己的网站在搜索引擎中的权重情况呢&#xff1f; 对于很多人而言&#xff0c;权重这个词可能听到最多的场景就是淘宝京东店铺权重。淘宝或京东会根据商家网店的浏览量、好评率、转化率、是否…

4A(统一安全管控平台)解析

4A是指帐号&#xff08;Account&#xff09;、认证&#xff08;Authentication&#xff09;、授权&#xff08;Authorization&#xff09;和审计&#xff08;Audit&#xff09;&#xff0c;4A统一安全管控平台是以身份为中心&#xff0c;实现帐号、认证、授权和审计统一管控的安…

Spark注意事项

一、Spark理解 数据的操作只有两种 大数据领域中对数据的操作只有两种:聚合 & 处理 无论是多华丽算法,最终都是这两个平平无奇的操作组合而成的 action理解 在spark中,一个action操作为一个jobId(在源码中可以看到runjob 是只有在action操作后才会调用) , 所以一个…

Mac内存空间不足导致无法安装Ventura?可用这些方法释放储存空间

安装Macos新版系统Ventura需要非常多的内存空间&#xff0c;本身我们的Mac磁盘空间就掣襟肘见&#xff0c;我们又该如何释放Mac磁盘空间来安装Ventura呢&#xff1f;今日&#xff0c;小编将分享一些能够释放大量Mac内存空间的方法&#xff0c;以便大家能够优化Mac的运行速度外还…

摄影基础笔记

https://www.icourse163.org/learn/UESTC-1001958013?tid1450439527#/learn/content 这里写目录标题景深 焦距 光圈视角快门测光 感光度构图井字曝光色温白平衡光光的强度光的方向色彩色相明度饱和度色相环反差影调手机瞬间后期处理景深 焦距 光圈 当我们把镜头对着一个景物聚…

Annoconda常见用法

打开conda命令行窗口 点击开始&#xff0c;选择A-选择Anaconda里面的prompt 查看环境 conda env list #查看虚拟环境 创建环境 conda create -n 虚拟环境名字 python3.8 #创建虚拟环境 python3.8 指定python版本 激活环境 conda activate 虚拟环境名字 #进入虚拟环境 …

Linux磁盘情况常用查看指令

Linux磁盘情况 磁盘查询 df -h&#xff1a;(disk free)查询磁盘的整体情况。 du -h&#xff1a;(disk usage)查询指定目录的磁盘占用情况&#xff0c;默认为当前目录 -s 指定目录占用大小汇总-h 带计量单位-a 含文件–max-depth1 子目录深度-c 列出明细的同时&#xff0c;增…

【LDF】线性判别函数(二)

感知准则函数 线性可分性 现有 nnn 个 样本: y1,y2,…,yn\mathbf{y}_1, \mathbf{y}_2, \ldots, \mathbf{y}_ny1​,y2​,…,yn​, 这些样本来自于两个类别 ω1\omega_1ω1​ 或 ω2\omega_2ω2​ 。任务: 寻找一个线性判别函数 g(x)aTyg(\mathbf{x})\mathbf{a}^T \mathbf{y}g(…

SpringMVC 入门案例

1.1 添加依赖 在pom文件中添加 javax.servlet-api 和 spring-webmvc 依赖&#xff1b; javax.servlet-api&#xff1a;主要用于 JAVA Web 开发&#xff1b; spring-webmvc&#xff1a;SpringMVC 依赖&#xff1b; <dependencies><dependency><groupId>javax.…

Xilinx ZYNQ 7000 AXI GPIO 读写/中断

打开SDK 后&#xff0c;创建官方例程 打开官方例程后&#xff0c;会发现这个AXI GPIO设置和 PS MIO/EMIO一模一样 int main(void) {int Status;volatile int Delay;/* Initialize the GPIO driver */Status XGpio_Initialize(&Gpio, GPIO_EXAMPLE_DEVICE_ID);if (Status…

unity-概念与实操入门

文章目录编译器推荐&#xff08;Rider&#xff09;坐标世界坐标系相对坐标系资源商店快捷入口地面制作脚本新建脚本生命周期vs测试打印对象标签&#xff08;自带集合属性&#xff09;图层预设体创建预设体&#xff08;cocos相同&#xff09;定位预设体文件位置预设体添加、更新…

python第一次作业

目录 1.下列程序运行结果为&#xff1a; 2.下列程序运行结果为&#xff1a; 3.下列程序运行结果为&#xff1a; 4.下列程序运行结果为&#xff1a; 5.编写程序&#xff1a;从键盘输入两个两位数&#xff0c;组成一个新的四位数&#xff0c; 6.编写程序功能如下&#xff1a…

网络编程套接字----TCP协议

文章目录前言一、简单TCP网络程序二、TCP socket API 详解socket()bind()listen()accept()connect()三、TCP网络编程头文件日志文件客户端服务器单进程版本多进程版本多线程版本四、线程池版的TCP更改提供的服务总结前言 接着上节课我们讲了udp套接字网络编程,这节课我来给大家…

【C++基础】11:文件操作

文件操作 OVERVIEW文件操作一、文本文件&#xff1a;1.指定打开方式&#xff1a;2.文本文件的写操作&#xff1a;3.文本文件的读操作&#xff1a;二、二进制文件&#xff1a;1.二进制文件的写操作&#xff1a;2.二进制文件的读操作&#xff1a;程序运行时产生的数据都属于临时的…

JAVA设计模式--行为型模式--状态模式

1.状态模式&#xff08;State Pattern&#xff09; 1.1介绍 类的行为是基于它的状态改变的。这种类型的设计模式属于行为型模式。 在状态模式中&#xff0c;我们创建表示各种状态的对象和一个行为随着状态对象改变而改变的 context 对象。 1.2意图&#xff1a; 允许对象在…

Python数据分析案例16——水质检测(支持向量机)

本次带来图片分类的案例&#xff0c;水质检测。 数据展示 五种类别的水质&#xff0c;图片形式储存的&#xff1a; 前面1是代表水质的类别标签&#xff0c;后面是样本个数。 图片特征构建 import numpy as np import pandas as pd import matplotlib.pyplot as plt import o…

pytorch应用(入门5)CNN卷积神经网络、提取层结构、提取参数

目录第一天第二章&#xff1a;简单的神经网络第三章&#xff1a;深度学习工作流程卷积模块介绍卷积池化层池化层书中的代码池化层相关资料提取 &#xff08;各&#xff09;层&#xff08;的&#xff09;结构如何提取参数及自定义初始化LeNetAlexNetVGGNetCIFAR 10VGGNetGoogLeN…

JSP ssh医疗报销管理系统myeclipse开发mysql数据库MVC模式java编程计算机网页设计

一、源码特点 JSP ssh 医疗报销管理系统是一套完善的web设计系统&#xff08;系统采用ssh框架进行设计开发&#xff09;&#xff0c;对理解JSP java编程开发语言有帮助&#xff0c;系统具有完整的源代码和数据库&#xff0c;系统主要采用 B/S模式开发。开发环境为TOMCAT7.…

【C++基础】07:多态

多态 OVERVIEW多态一、多态1.基本概念&#xff1a;2.E1-计算器类3.纯虚函数&抽象类&#xff1a;4.E2-制作饮品5.虚析构&纯虚析构&#xff1a;6.E3-电脑组装二、运算符重载1.加号运算符重载&#xff1a;2.左移>>运算符重载&#xff1a;3.递增运算符重载&#xff1…

数据结构课设-小老鼠走迷宫(JAVA版)

学校题目: 题目内容: 程序开始运行时显示一个迷宫地图&#xff0c;迷宫中央有一只老鼠&#xff0c;迷宫的右下方有一个粮仓。游戏的任务是使用键盘上的方向健操纵老鼠在规定的时间内走到粮仓处。 基本要求&#xff1a; ⑴老鼠形象可以辨认&#xff0c;可用键盘操纵老鼠上下…