总线:特性、分类、性能指标、系统总线的结构、总线仲裁、总线定时、总线标准

news2024/9/21 0:45:14

总线(Bus),是一组为各功能部件之间进行信息传送的公共线路。

总线的特性:

  • 机械特性(物理特性):尺寸、形状、引脚数、排列顺序。
  • 电气特性:每根信号线上的信号传输方向、表示信号有效的电平范围。
  • 功能特性:每根信号线的功能。例如:地址总线表示地址码,数据总线表示传输的数据,控制总线表示总线上操作的命令和状态等。
  • 时间特性(逻辑特性):每根信号线上的信号什么时候有效,信号的时序关系。

总线的分类:

系统总线是通常意义上所说的总线。系统总线包括地址总线、数据总线、控制总线。

有些系统,地址总线和数据总线是复用的,即总线上的信号某一时刻表示地址另一时刻表示数据。

总线的性能指标:

总线宽度:又称总线位宽。总线上能同时传输的数据位数。通常与数据总线的根数相同。

总线周期:又称总线的传输周期。一次总线操作所需的时间。也就是通过总线传输 一组数据所需的时间。

        通常总线周期由若干个总线时钟周期构成。有时1个总线周期是1个总线时钟周期,有时1个总线时钟周期包含多个总线周期。

总线周期包括申请阶段(请求和仲裁)、寻址阶段、传输阶段、结束阶段。

  1. 申请阶段(请求和仲裁):设备发出申请信号,经总线仲裁机构决定哪个设备获得总线使用权。
  2. 寻址阶段:主设备通过总线发出从设备的地址和相应的命令,启动从设备。
  3. 传输阶段:主设备和从设备之间进行数据传送。
  4. 结束阶段:主设备的有关信息全部从总线上撤销,让出总线使用权。

主设备:获得总线控制权的设备。

从设备:被主设备访问的设备。只能响应主设备发来的总线命令。

总线时钟周期:机器的时钟周期。即CPU的时钟部件发出节拍信号,1个节拍信号就是1个时钟周期。现在的计算机,总线时钟周期可能由桥接器提供。

总线工作频率:单位时间的传输率(一秒传送多少次数据)。是总线周期的倒数。

总线时钟频率:一秒有多少时钟周期。是总线时钟周期的倒数。

总线带宽:又称总线的数据传输率。即单位时间总线传输的数据位数(每秒总线上传输多少字节)。单位:字节/秒(B/s)

        总线带宽 = 总线工作频率 * 总线宽度 = 总线宽度 / 总线周期

信号线数:地址总线、数据总线、控制总线这3种线 数量的和。

系统总线的结构:

系统总线的结构
单总线结构双总线结构三总线结构四总线结构
一组总线两组总线三组总线四组总线
系统总线主存总线,I/O总线主存总线,I/O总线,DMA总线(Direct Memory Access)

CPU总线、系统总线、高速总线,扩充总线

需要硬件:通道需要设备:桥接器
CPU、主存、I/O设备都通过一组系统总线交换数据。

通道对I/O设备统一管理,通道程序存放在内存中。

支持突发(猝发)传送即送出一个地址,收到多个地址连续的数据。

高速外设通过DMA总线直接与主存交换数据。

桥接器用于连接不同的总线,具有数据缓冲、转换、控制功能。

结构简单,成本低。但带宽低,负载重,不支持并行传送。缓和CPU和I/O设备之间的速度矛盾。但需增加通道等硬件设备。缓和CPU和高速外设(磁盘)之间的速度矛盾。I/O设备对CPU的响应更快,提高系统吞吐量。但系统工作效率低(因同一时刻只有一个总线工作)

现代计算机更常使用。

越靠近CPU的总线速度越快。

总线仲裁:

总线仲裁:同一时间只能一组设备(2个)之间使用总线进行数据传送,多个设备同时需要使用总线,通过某种方式选择某一个主设备获得总线控制权,从而进行数据传送。

总线总裁的方式:集中仲裁方式(链式查询方式、计数器查询方式、独立请求方式),分布仲裁方式。

总线定时:

总线定时:是一种协议或规则,通过总线完成两个设备之间的数据传送需要时间上的配合。

(1)同步通信(同步定时方式):由统一的时钟信号控制数据传送。固定的时间间隔,总线周期的时间相同。

(2)异步通信(异步定时方式):没有统一的时钟控制,主设备和从设备之间采用应答方式。

  •  不互锁:主设备发出“请求”信号,不必等到从设备的“回答”信号,过段时间自动撤销“请求”信号。从设备接收“请求”信号后,发出“回答”信号,过段时间自动撤销“回答”信号。
  • 半互锁:主设备发出“请求”信号,必须等到从设备的“回答”信号,再撤销“请求”信号。从设备接收“请求”信号后,发出“回答”信号,过段时间自动撤销“回答”信号。
  • 全互锁:主设备发出“请求”信号,必须等到从设备的“回答”信号,再撤销“请求”信号。从设备接收“请求”信号后,发出“回答”信号,必须等到主设备已经撤销“请求”信号,才撤销“回答”信号。

(3)半同步通信:同步、异步结合。统一时钟的基础上,增加“等待”信号。

(4)分离式通信:主设备申请使用总线,使用完让出总线使用权。从设备申请使用总线,将数据传送到总线。从设备准备数据的时候不需要使用总线,让给其他设备使用总线。提高总线利用率。

总线标准:

总线标准是国际上公布或推荐的互连各个模块的标准,是把不同模块组成计算机系统时必须遵守的规范。保证计算机系统的可维护性和可扩充性。按照总线标准设计的接口可以视为通用接口。

总线标准
ISAIndustry Standard Architecture1984年系统总线并行不支持总线仲裁
EISAExtended ISA1988年系统总线并行在ISA基础上增加位宽
FSB,QPI

Front Side Bus,

QuickPath Interconnect(multi-FSB)

系统总线串行

FSB连接CPU与北桥芯片。

QPI用来芯片之间的直接互联,矛头直指AMD的HT总线。

VESAVideo Electronics Standard Architecture1991年局部总线并行

用于传输图像。连接高速网卡、显卡等。

受CPU的控制。

PCIPeripheral Component Interconnect1992年局部总线并行

连接显卡、声卡、网卡等。

支持即插即用,支持突发传送。

不依附于某个独立处理器,独立于CPU主频。

AGPAccelerated Graphics Port1996年局部总线并行

基于PCI 2.1版。

连接主存、显卡。

PCI-EPCI-Express2001年局部总线串行不支持总线仲裁。支持双向传送,可运行全双工模式,支持热拔插。
RS-232CRecommended Standard1970年通信总线串行连接极慢速的电传打印机。
SCSISmall Computer System Interface1986年通信总线并行连接硬盘、打印机、扫描仪等。
PCMCIPersonal Computer Memory Card International Association1991年通信总线并行连接便携设备。连接外部存储卡,增强个人电脑的信息交换。
USBUniversal Serial Bus1996年通信总线串行

设备和设备控制器之间的接口。支持热拔插、即插即用。USB集线器可链式连接127个外设。

采用“差模信号”传送1/0。每次只能传送1bit数据。

IDE(ATA)

Integrated Drive Electronics

(PATA:Parallel ATA)

1986年通信总线并行连接硬盘、光驱等。
SATASerial ATA2001年通信总线串行连接硬盘、光驱等。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/959915.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

六、员工信息分页+启用/禁用员工账号(前端经典大数/精度丢失问题)

员工信息分页 整体流程: 1、创建mybatisplus配置类 在config包下创建mybatisplusconfig /*** 配置MybatisPlus分页插件*/ Configuration //既然是配置类,要加配置类的注解 public class MybatisPlusConfig {Beanpublic MybatisPlusInterceptor mybatis…

【VirtualBox】安装Ubuntu

一、新建虚拟系统 点击新建 输入名称,点击下一步 配置内存大小和处理器,点击下一步 选择不添加虚拟硬盘,点击下一步 点击完成 点击继续 二、修改虚拟机硬件配置 选择虚拟机,点击 “设置” 进入 “系统 -> 主板” 页面&…

131页8万字数字化矿山整体解决方案WORD(矿山资料合集)

本资料来源公开网络,仅供个人学习,请勿商用,如有侵权请联系删除,更多浏览公众号:智慧方案文库 目 录 1、煤矿综合自动化系统概述 1.1、煤矿数字化系统发展方向 1.2、建设必要性和意义 1.3、矿井综合自动化系统设计…

应用案例 | 3D视觉引导解决方案汽车零部件上下料

Part.1 行业背景 三维视觉引导技术在国内外汽车零部件领域得到了广泛应用。随着汽车制造业的不断发展和创新,对于零部件的加工和装配要求越来越高,而三维视觉引导技术能够帮助企业实现更精确、更高效的零部件上下料过程。 纵览国外,部分汽车…

【仿写spring之ioc篇】二、bean生命周期中的创建以及属性赋值

扫描类 这个类就不多说了,基本所有框架都要有这一步,这里主要关注我们目前要实现的方法,其他的具体方法可以查看源码 isComponent方法 /*** 扫描所有带有Component注解的java类,放入到BeanRegistry** return boolean*/public bo…

【前端demo】圣诞节灯泡 CSS动画实现轮流闪灯

文章目录 效果过程灯泡闪亮实现(animation和box-shadow)控制灯泡闪亮时间和顺序(animation-delay)按钮开关 代码htmlcssjs 参考代码1代码2 前端demo目录 效果 效果预览:https://codepen.io/karshey/pen/zYyBRWZ 参考…

初出茅庐的小李博客之STM32F103C8T6音乐控制器实战教程【1】

STM32F103C8T6音乐控制器实战教程[1] USB简单介绍: "USB"代表通用串行总线(Universal Serial Bus),是一种用于连接计算机及其外部设备的标准接口。USB接口允许各种设备(如打印机、存储设备、键盘、鼠标、摄…

为何电商行业都在争相使用WhatsApp引流小挂件?

WhatsApp小挂件是嵌入在网站上的聊天小部件,允许访问者同WhatsApp与您联系。点击后,它会将客户带到移动或桌面 WhatsApp应用程序,或者直接打开一个对话框,客户可以在这些地方与您发起对话。让我们看看在您的网站上拥有WhatsApp聊天…

Java实现MQTT订阅发布

一. MQTT 与 EMQX MQTT 是轻量级基于代理的发布/订阅的消息传输协议。使用发布/订阅消息模式,提供一对多的消息发布,解除应用程序耦合。底层使用 TCP/IP 提供网络连接。 EMQ X (Erlang/Enterprise/Elastic MQTT Broker) 是基于 Erlang/OTP 平台开发的开…

Vue+Element-ui实现表格本地导入

表格文件存储在前端 如图,表格文件template.xlsx存储在public下的static文件夹下 注意这里的路径容易报错 a链接下载文件失败的问题(未发现文件) a.href ‘./static/template.xlsx’ 写的时候不能带public,直接这么写就可以 DownloadTemp…

星域的庞大规模已经让我们眩晕

有一句道格拉斯亚当斯的名言银河系漫游指南我最近想了很多。“空间很大,”他写道。“你不会相信它有多么巨大,令人难以置信。我的意思是,你可能认为去药店的路很长,但那只是去太空的小钱。” 星域不妨把这句引言放在其设计文档的封…

从天镜大模型,透视马上消费的“三重价值”

AI正在打开新世界。 红杉资本曾发表名为《生成式AI:一个创造性的新世界》的文章,提到生成式AI将涉及数十亿的人工劳动力,并促使这些人工劳动力的效率和创造力至少提高10%,有潜力产生数万亿美元的经济价值。 大模型,被…

2 | Window 搭建单机 Hadoop 和Spark

搭建单机 Hadoop 和 Spark 环境可以学习和测试大数据处理的基础知识。在 Windows 操作系统上搭建这两个工具需要一些配置和设置,下面是一个详细的教程: 注意: 在开始之前,请确保你已经安装了 Java 开发工具包(JDK),并且已经下载了 Hadoop 和 Spark 的最新版本。你可以从…

程序员:你如何写可重复执行的SQL语句?

上图的意思: 百战百胜,屡试不爽。 故事 程序员小张: 刚毕业,参加工作1年左右,日常工作是CRUD 架构师老李: 多个大型项目经验,精通各种开发架构屠龙宝术; 小张注意到,在…

【Datawhale】AI夏令营第三期——基于论文摘要的文本分类笔记(下)

笔记上部分请看【Datawhale】AI夏令营第三期——基于论文摘要的文本分类笔记(上) 文章目录 一、深度学习Topline1.1 数据预处理1.2 模型训练1.3 评估模型1.4 测试集推理1.5 后续改进 二、大模型Topline2.1 大模型介绍2.2 大模型是什么?2.3 大模型的原理2.4 大模型可…

嵌入式部署机器学习模型---TinyML

我们目前生活在一个被机器学习模型包围的世界。在一天中,您使用这些模型的次数比您意识到的要多。诸如浏览社交媒体、拍照、查看天气等日常任务都依赖于机器学习模型。您甚至可能会看到此博客,因为机器学习模型向您推荐了此博客。 我们都知道训练这些模型…

【位运算】leetcode371:两整数之和

一.题目描述 两整数之和 二.思路分析 题目要求我们实现两整数相加,但是不能使用加号,应该立马想到是用位运算来解决问题。之前说过,异或就是“无进位相加”,故本题可以先将两数异或,然后想办法让得到的结果进位即可。…

yolov5自定义模型训练三

经过11个小时cpu训练完如下 在runs/train/expx里存放训练的结果, 测试是否可以检测ok 网上找的这张识别效果不是很好,通过加大训练次数和数据集的话精度可以提升。 训练后的权重也可以用视频源来识别, python detect.py --source 0 # webca…

WOFOST模型与PCSE模型技术应用

实现作物产量的准确估算对于农田生态系统响应全球变化、可持续发展、科学粮食政策制定、粮食安全维护都至关重要。传统的经验模型、光能利用率模型等估产模型原理简单,数据容易获取,但是作物生长发育非常复杂,中间涉及众多生理生化过程&#…

Ansible-playbook条件语句when的使用

目录 when关键字1.基本使用2.比较运算符3.逻辑运算符4.判断变量 when关键字 1.基本使用 当ansible_os_family是redhat的时候,执行安装vim,不是的话跳过 --- - hosts: webtasks:- name: Install VIM via yumyum:name: vim-enhancedstate: installedwhe…