FPGA:uart原理+tx发送模块+rx接收模块

news2024/9/24 7:21:31

文章目录

  • 一、串口通信
  • 二、UART通信
  • 三、tx发送模块
  • 四、rx模块接收

一、串口通信

处理器与外部设备通信的两种方式:
串行通信: 指数据的各个位使用多条数据线同时进行传输。
并行通信: 将数据分成一位一位的形式在一条数据线上逐个传输。
在这里插入图片描述

串行通信的通信方式:
同步通信: 带时钟同步信号的数据传输,发送方和接收方在同一时钟控制下,同步传输数据。
异步通信: 不带时钟同步信号的数据传输,发送方和接收方使用各自的时钟控制数据的发送和接收过程。在这里插入图片描述

串行通信的传输方向:
单工: 数据只能沿一个方向进行传输。
半双工: 数据传输可以沿两个方向,但需要分时进行。
全双工: 数据可以同时进行双向传输。
在这里插入图片描述

常见的串行通信接口:
在这里插入图片描述

二、UART通信

通用异步收发传输器(Universal Asynchronous Receiver/Transmitter),通常称作UART,是一种异步收发传输器。 它在发送数据时将并行数据转换为串行的数据来传输,在接收数据时将接收到的串行数据转换成并行数据。UART串口通信需要两根信号线来实现,一根用于发送,另一个用于接收。
在这里插入图片描述

协议层:
数据格式,1帧数据由4部分组成。

  • 起始位(1bit)
  • 数据位(6/7/8bit)
  • 奇偶校验位(1bit)
  • 停止位(1bit/1.5bit/2bit)
    在这里插入图片描述

奇校验:原始码流+校验位 总共有奇数个1
偶校验:原始码流+校验位 总共有偶数个1

传输速率:
串口通信速率用波特率表示,它表示每秒传输二进制数据的位数,单位是bit/s(位/秒),简称bps;常用的波特率有9600,115200等。

物理层:
串口电平标准:

  • TTL电平的串口(3.3V)
  • RS232电平的串口(+5V ~ +12V为低电平,-12V ~ -5V为高电平)

三、tx发送模块

tx发送模块:共四个状态,IDLE状态,START状态,DATA状态,FINISH状态。

/*
 * @Description: tx输出,波特率115200,系统时钟50M,传输1bit所需计数434个周期
 * @Author: Fu Yu
 * @Date: 2023-08-15 11:10:41
 * @LastEditTime: 2023-08-15 14:55:04
 * @LastEditors: Fu Yu
 */

module uart_tx (
    input           wire                clk         ,
    input           wire                rst_n       ,
    input           wire [7:0]          tx_din      ,
    input           wire                tx_din_vld  ,

    output          wire                tx_dout     ,
    output          wire                ready           
);

parameter MAX_BIT = 50_000_000/115200;//1bit计数最大值,434

localparam  IDLE   = 4'b0001,
            START  = 4'b0010,
            DATA   = 4'b0100,
            FINISH = 4'b1000;

reg [3:0]   state_c;//现态
reg [3:0]   state_n;//次态

wire        idle_start  ;// IDLE -> START
wire        start_data  ;// START -> DATA
wire        data_finish ;// DATA -> FINISH
wire        finish_idle ;// FINFISH -> IDLE

reg			[8:0]	cnt_bit	   	;
wire				add_cnt_bit	;
wire				end_cnt_bit	;

reg			[11:0]	cnt_data	   	;
wire				add_cnt_data	;
wire				end_cnt_data	;

reg [7:0]   tx_din_r;
reg         tx_dout_r;

//****************************************************************
//--                状态机
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        state_c <= IDLE;
    end
    else begin
        state_c <= state_n;
    end
end

always @( *) begin
    case (state_c)
        IDLE : begin
            if(idle_start) begin
                state_n = START;
            end
            else begin
                state_n = state_c;
            end
        end

        START : begin
            if(start_data) begin
                state_n = DATA;
            end
            else begin
                state_n = state_c;
            end
        end

        DATA : begin
            if(data_finish) begin
                state_n = FINISH;
            end
            else begin
                state_n = state_c;
            end
        end

        FINISH : begin
            if(finish_idle) begin
                state_n = IDLE;
            end
            else begin
                state_n = state_c;
            end
        end

        default : state_n = IDLE;
            
    endcase
end


assign idle_start  = state_c == IDLE && tx_din_vld ;
assign start_data  = state_c == START &&  end_cnt_bit;
assign data_finish = state_c == DATA &&  end_cnt_data;
assign finish_idle = state_c == FINISH && end_cnt_bit;

//****************************************************************
//--                    计数器
//****************************************************************
//1bit计数器
always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_bit <= 'd0;
    end 
    else if(add_cnt_bit)begin 
        if(end_cnt_bit)begin 
            cnt_bit <= 'd0;
        end
        else begin 
            cnt_bit <= cnt_bit + 1'b1;
        end 
    end
end 

assign add_cnt_bit = state_c == START || state_c == FINISH || state_c == DATA;
assign end_cnt_bit = add_cnt_bit && cnt_bit == MAX_BIT - 1;

//8bit计数器
always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_data <= 'd0;
    end 
    else if(add_cnt_data)begin 
        if(end_cnt_data)begin 
            cnt_data <= 'd0;
        end
        else begin 
            cnt_data <= cnt_data + 1'b1;
        end 
    end
end 

assign add_cnt_data = state_c == DATA && end_cnt_bit;
assign end_cnt_data = add_cnt_data && cnt_data == 8 - 1 ;


//****************************************************************
//--                输入数据寄存
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        tx_din_r <= 0;
    end
    else begin
        tx_din_r <= tx_din;
    end
end


//****************************************************************
//--            实现串口时序
//****************************************************************
always @( *) begin
    case (state_c)
        IDLE : begin
            tx_dout_r = 1;
        end

        START : begin
            tx_dout_r = 0;
        end

        DATA : begin
            if(tx_din_r[cnt_data]) begin
                tx_dout_r = 1;
            end
            else begin
                tx_dout_r = 0;
            end
        end

        FINISH : begin
            tx_dout_r = 1;
        end

        default : tx_dout_r = 1;
    endcase
end

assign tx_dout = tx_dout_r;

assign ready = state_c == IDLE;


endmodule //uart_tx

测试文件:

/*
 * @Description: uart_tx仿真模块
 * @Author: Fu Yu
 * @Date: 2023-08-15 14:58:32
 * @LastEditTime: 2023-08-15 15:06:49
 * @LastEditors: Fu Yu
 */


`timescale 1ns/1ns
    
module tb_uart_tx();

//激励信号定义 
    reg				tb_clk  	;
    reg				tb_rst_n	;
    reg		[7:0]   tb_tx_din	;
    reg             tb_tx_din_vld;

//输出信号定义	 
    wire			tx_dout	;
    wire			ready    ;

//时钟周期参数定义	
    parameter		CLOCK_CYCLE = 20;   
    defparam  u_uart_tx.MAX_BIT = 10;
//模块例化
uart_tx u_uart_tx(
   /*input           wire       */  .       clk       (tb_clk)  ,
   /*input           wire       */  .       rst_n     (tb_rst_n)  ,
   /*input           wire [7:0] */  .       tx_din    (tb_tx_din) ,
   /*input           wire       */  .       tx_din_vld(tb_tx_din_vld) ,
   /*output          wire       */  .       tx_dout   (tx_dout)  ,
   /*output          wire       */  .       ready     (ready)      
);

//产生时钟
    initial 		tb_clk = 1'b0;
    always #(CLOCK_CYCLE/2) tb_clk = ~tb_clk;

//产生激励
    initial  begin 
        tb_rst_n = 1'b1;
        tb_tx_din = 0;
        tb_tx_din_vld = 0;
        #(CLOCK_CYCLE*2);
        tb_rst_n = 1'b0;
        #(CLOCK_CYCLE*20);
        tb_rst_n = 1'b1;

        
        repeat(10) begin
            tb_tx_din_vld = 1;
            tb_tx_din = {$random};
            #20;
            tb_tx_din_vld = 0;
            wait(ready == 1);
            #20;
        end

        #1000;
        $stop;
    end

endmodule 

仿真波形图:
在这里插入图片描述
上板验证:
加入按键控制模块,每一次按下,输出8’hAB

按键消抖模块:

/*
 * @Description: 按键消抖,使用延迟方法,消抖后输出高电平信号
 * @Author: Fu Yu
 * @Date: 2023-08-07 14:22:56
 * @LastEditTime: 2023-08-07 14:48:48
 * @LastEditors: Fu Yu
 */


module key_filter #(
    parameter WITDH = 3//WITDH表示位宽
)(
    input       wire                clk         ,
    input       wire                rst_n       ,

    input       wire [WITDH-1:0]    key_in      ,

    output      wire [WITDH-1:0]    key_down
);

parameter MAX_20MA = 20'd999_999;//20ms

reg [WITDH - 1:0]   key_r0;//同步信号
reg [WITDH - 1:0]   key_r1;//打拍
reg [WITDH - 1:0]   key_r2;
reg [WITDH - 1:0]   key_down_r;
reg [19:0]          cnt_20ms;
reg                 flag;//开始计数信号

wire [WITDH - 1:0]  nedge;//下降沿
wire add_cnt_20ms;
wire end_cnt_20ms;


//****************************************************************
//--同步,打拍
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        key_r0 <= {WITDH{1'b1}};
        key_r1 <= {WITDH{1'b1}};
        key_r2 <= {WITDH{1'b1}};
    end
    else begin
        key_r0 <= key_in;
        key_r1 <= key_r0;
        key_r2 <= key_r1;
    end
end

//下降沿检测
assign nedge = ~key_r1 & key_r2;


//****************************************************************
//--flag
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        flag <= 1'b0;
    end
    else if(nedge) begin//检测到下降沿开始计数
        flag <= 1'b1;
    end
    else if(end_cnt_20ms) begin//20ms后停止计数
        flag <= 1'b0;
    end
    else begin
        flag <= flag;
    end
end


//****************************************************************
//--20ms计数器
//****************************************************************


always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_20ms <= 20'd0;
    end 
    else if(add_cnt_20ms)begin 
        if(end_cnt_20ms)begin 
            cnt_20ms <= 20'd0;
        end
        else begin 
            cnt_20ms <= cnt_20ms + 1'b1;
        end 
    end
end 

assign add_cnt_20ms = flag;
assign end_cnt_20ms = add_cnt_20ms && cnt_20ms == MAX_20MA;

//****************************************************************
//--key_down
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        key_down_r <= {WITDH{1'b0}};
    end
    else if(end_cnt_20ms) begin
        key_down_r <= ~key_r2;
    end
    else begin
        key_down_r <= {WITDH{1'b0}};
    end
end

assign key_down = key_down_r;

endmodule //key_filter

顶层模块:

module top (
    input           wire            clk         ,
    input           wire            rst_n       ,
    input           wire            key_in      ,

    output          wire            tx
);


wire        key_wire;
wire        ready;


key_filter #(.WITDH(1)) u_key_filter(
   /* input       wire            */.   clk     (clk)    ,
   /* input       wire            */.   rst_n   (rst_n)    ,
   /* input       wire [WITDH-1:0]*/.   key_in  (key_in)    ,
   /* output      wire [WITDH-1:0]*/.   key_down(key_wire)
);

uart_tx u_uart_tx(
   /*input           wire       */  .       clk       (clk)  ,
   /*input           wire       */  .       rst_n     (rst_n)  ,
   /*input           wire [7:0] */  .       tx_din    (8'hab)  ,
   /*input           wire       */  .       tx_din_vld(key_wire && ready)  ,
   /*output          wire       */  .       tx_dout   (tx)  ,
   /*output          wire       */  .       ready     (ready)      
);


endmodule //top

效果展示:
在这里插入图片描述

四、rx模块接收

/*
 * @Description: rx接收,波特率115200,系统时钟50M,传输1bit所需计数434个周期
 * @Author: Fu Yu
 * @Date: 2023-08-15 11:10:41
 * @LastEditTime: 2023-08-16 10:03:27
 * @LastEditors: Fu Yu
 */

module uart_rx (
    input           wire                clk         ,
    input           wire                rst_n       ,
    input           wire                rx_din       ,

    output          wire [7:0]          rx_dout      ,
    output          wire                rx_dout_vld  ,
    output          wire                ready           
);

parameter MAX_BIT = 50_000_000/115200;//1bit计数最大值,434

localparam  IDLE   = 4'b0001,
            START  = 4'b0010,
            DATA   = 4'b0100,
            FINISH = 4'b1000;

reg [3:0]   state_c;//现态
reg [3:0]   state_n;//次态

wire        idle_start  ;// IDLE -> START
wire        start_data  ;// START -> DATA
wire        data_finish ;// DATA -> FINISH
wire        finish_idle ;// FINFISH -> IDLE

reg			[8:0]	cnt_bit	   	;
wire				add_cnt_bit	;
wire				end_cnt_bit	;

reg			[11:0]	cnt_data	   	;
wire				add_cnt_data	;
wire				end_cnt_data	;

reg     [7:0]       rx_dout_r;

//****************************************************************
//--                状态机
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        state_c <= IDLE;
    end
    else begin
        state_c <= state_n;
    end
end

always @( *) begin
    case (state_c)
        IDLE : begin
            if(idle_start) begin
                state_n = START;
            end
            else begin
                state_n = state_c;
            end
        end

        START : begin
            if(start_data) begin
                state_n = DATA;
            end
            else begin
                state_n = state_c;
            end
        end

        DATA : begin
            if(data_finish) begin
                state_n = FINISH;
            end
            else begin
                state_n = state_c;
            end
        end

        FINISH : begin
            if(finish_idle) begin
                state_n = IDLE;
            end
            else begin
                state_n = state_c;
            end
        end

        default : state_n = IDLE;
            
    endcase
end


assign idle_start  = state_c == IDLE && rx_din == 0 ;
assign start_data  = state_c == START &&  end_cnt_bit;
assign data_finish = state_c == DATA &&  end_cnt_data;
assign finish_idle = state_c == FINISH && end_cnt_bit;

//****************************************************************
//--                    计数器
//****************************************************************
//1bit计数器
always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_bit <= 'd0;
    end 
    else if(add_cnt_bit)begin 
        if(end_cnt_bit)begin 
            cnt_bit <= 'd0;
        end
        else begin 
            cnt_bit <= cnt_bit + 1'b1;
        end 
    end
end 

assign add_cnt_bit = state_c == START || state_c == FINISH || state_c == DATA;
assign end_cnt_bit = add_cnt_bit && cnt_bit == MAX_BIT - 1;

//8bit计数器
always @(posedge clk or negedge rst_n)begin 
   if(!rst_n)begin
        cnt_data <= 'd0;
    end 
    else if(add_cnt_data)begin 
        if(end_cnt_data)begin 
            cnt_data <= 'd0;
        end
        else begin 
            cnt_data <= cnt_data + 1'b1;
        end 
    end
end 

assign add_cnt_data = state_c == DATA && end_cnt_bit;
assign end_cnt_data = add_cnt_data && cnt_data == 8 - 1 ;



//****************************************************************
//--            实现数据接收
//****************************************************************
always @(posedge clk or negedge rst_n) begin
    if(!rst_n) begin
        rx_dout_r <= 0;
    end
    else if(state_c == DATA && cnt_bit == MAX_BIT >> 1) begin
        rx_dout_r[cnt_data] <= rx_din;
    end
end

assign rx_dout = rx_dout_r;

assign rx_dout_vld = data_finish;

assign ready = state_c == IDLE;


endmodule //uart_rx

ip核fifo调用:

module ctrl (
    input           wire            clk                 ,
    input           wire            rst_n               ,
    input           wire [7:0]      rx_data             ,
    input           wire            rx_data_vld         ,
    input           wire            tx_ready            ,

    output          wire [7:0]      tx_data             ,
    output          wire            tx_data_vld     
);


wire  fifo_rd_empty;
wire  fifo_wr_full;




fifo	fifo_inst (
	.aclr ( ~rst_n ),

	.data ( rx_data ),
	.wrclk ( clk ),
	.wrreq ( rx_data_vld && ~fifo_wr_full ),

	.q ( tx_data ),
    .rdclk ( clk ),
	.rdreq ( tx_ready && ~fifo_rd_empty ),

	.rdempty ( fifo_rd_empty ),
	.wrfull ( fifo_wr_full )
	);


assign tx_data_vld = tx_ready && ~fifo_rd_empty ;

endmodule //ctrl

顶层模块:

module top (
    input           wire            clk         ,
    input           wire            rst_n       ,
    input           wire            key_in      ,
    input           wire            rx          ,

    output          wire            tx
);


wire        key_wire;
wire        tx_ready;
wire [7:0]  rx_data;
wire        rx_data_vld;
wire [7:0]  tx_data;
wire        tx_data_vld;


key_filter #(.WITDH(1)) u_key_filter(
   /* input       wire            */.   clk     (clk)    ,
   /* input       wire            */.   rst_n   (rst_n)    ,
   /* input       wire [WITDH-1:0]*/.   key_in  (key_in)    ,
   /* output      wire [WITDH-1:0]*/.   key_down(key_wire)
);

uart_tx u_uart_tx(
   /*input           wire       */  .       clk       (clk)  ,
   /*input           wire       */  .       rst_n     (rst_n)  ,
   /*input           wire [7:0] */  .       tx_din    (tx_data)  ,
   /*input           wire       */  .       tx_din_vld(tx_data_vld)  ,

   /*output          wire       */  .       tx_dout   (tx)  ,
   /*output          wire       */  .       ready     (tx_ready)      
);


uart_rx u_uart_rx(
   /* input           wire       */.         clk        (clk ) ,
   /* input           wire       */.         rst_n      (rst_n ) ,
   /* input           wire       */.         rx_din     (rx )  ,

   /* output          wire [7:0] */.         rx_dout    (rx_data)  ,
   /* output          wire       */.         rx_dout_vld(rx_data_vld)  ,
   /* output          wire       */.         ready      ()     
);



ctrl  u_ctrl(
    /* input           wire         */ .   clk        (clk) ,
    /* input           wire         */ .   rst_n      (rst_n) ,
    /* input           wire [7:0]   */ .   rx_data    (rx_data) ,
    /* input           wire         */ .   rx_data_vld(rx_data_vld) ,
    /* input           wire         */ .   tx_ready   (tx_ready) ,

    /* output          wire [7:0]   */ .   tx_data    (tx_data) ,
    /* output          wire         */ .   tx_data_vld(tx_data_vld)
);


endmodule //top

将rx接收模块与tx发送模块联合使用,效果如下:
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/900602.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

1.flink快速入门

前言 下图表示的是一个简单的flink-job的计算图&#xff0c;这种图被称为DAG(有向无环图)&#xff0c;表示的这个任务的计算逻辑&#xff0c;无论是spark、hive、还是flink都会把用户的计算逻辑转换为这样的DAG&#xff0c;数据的计算按照DAG触发&#xff0c;理论上只要构建出…

spring源码分析bean的生命周期(下)

doGetBean()执行过程 createBean()执行过程 一、DependsOn注解 spring创建对象之前会判断类上是否加了DependsOn注解&#xff0c;加了会遍历然后会添加到一个map中&#xff0c;spring会先创建DependsOn注解指定的类 二、spring类加载器 在合并BeanDefinition&#xff0c;确定…

centos7.9和redhat6.9 离线升级OpenSSH和openssl (2023年的版本)

升级注意事项&#xff01; 1、多开几个连接窗口&#xff08;xshell&#xff09;&#xff0c;避免升级openssh失败无法再次连接终端&#xff0c;否则要跑机房了。 2、可开启telnet服务、vnc服务、打快照。多几个“保命”的路数。一、centos7.9的信息 [rootnode2 ~]# openssl v…

1391. 检查网格中是否存在有效路径;2502. 设计内存分配器;1638. 统计只差一个字符的子串数目

核心思想&#xff1a;并查集。枚举网格中的块&#xff0c;把能连通的连通在一起&#xff0c;最后看&#xff08;0&#xff0c;0&#xff09;和&#xff08;m-1,n-1&#xff09;是否连通&#xff0c;然后网格中的每个点坐标是二维的&#xff0c;然后通过x*ny转换为一维&#xff…

大数据课程K2——Spark的RDD弹性分布式数据集

文章作者邮箱:yugongshiye@sina.cn 地址:广东惠州 ▲ 本章节目的 ⚪ 了解Spark的RDD结构; ⚪ 掌握Spark的RDD操作方法; ⚪ 掌握Spark的RDD常用变换方法、常用执行方法; 一、Spark最核心的数据结构——RDD弹性分布式数据集 1. 概述 初学Spark时,把RDD看…

超实用的批量管理工具 pssh 和 window 文件传输工具 pscp

文章目录 一、概述1&#xff09;pssh2&#xff09;pscp 二、pssh 工具安装三、pssh 命令的基本语法四、pscp 工具安装1&#xff09;Windows 上安装2&#xff09;Linux 系统上安装 五、 pscp 命令的基本语法1&#xff09;从 windows 向 linux 传文件2&#xff09;从 linux 传文件…

算法:滑动窗口解决连续区间子数组问题

文章目录 实现原理实现思路典型例题长度最小的子数组无重复字符的最小字串最大连续1的个数III将x减到0的最小操作水果成篮找到字符串中所有字母异位词(哈希表比较优化)对哈希表内元素比较的优化 总结 本篇积累的是滑动窗口的问题&#xff0c;滑动窗口在算法实现中有重要作用&am…

Python可视化在量化交易中的应用(16)_Seaborn热力图

Seaborn中热力图的绘制方法 seaborn中绘制热力图使用的是sns.heatmap()函数&#xff1a; sns.heatmap(data,vmin,vmax,cmap,center,robust,annot,fmt‘.2g’,annot_kws,linewidths0,linecolor‘white’,cbar,cbar_kws,cbar_ax,square,xticklabels‘auto’,yticklabels‘auto’…

systemd:初学者如何理解其中的争议

导读对于什么是 systemd&#xff0c;以及为什么它经常成为 Linux 世界争议的焦点&#xff0c;你可能仍然感到困惑。我将尝试用简单的语言来回答。 在 Linux 世界中&#xff0c;很少有争议能像传统的 System V 初始化 系统&#xff08;通常称为 SysVinit&#xff09;和较新的 s…

QT设置widget背景图片

首先说方法&#xff0c;在给widget或者frame或者其他任何类型的控件添加背景图时&#xff0c;在样式表中加入如下代码&#xff0c;指定某个控件&#xff0c;设置其背景。 类名 # 控件名 { 填充方式&#xff1a;图片路径 } 例如&#xff1a; QWidget#Widget {border-image: url…

1. 微信小程序开发环境搭建

下载 微信的小程序开发需要使用到微信开发者工具&#xff0c;通过https://developers.weixin.qq.com/miniprogram/dev/devtools/stable.html可以下载 下载完成后 安装

Linux 系统编程拾遗

Linux 系统编程拾遗 进程的创建 进程的创建 fork()、exit()、wait()以及execve()的简介 创建新进程&#xff1a;fork()

人工智能原理(6)

目录 一、机器学习概述 1、学习和机器学习 2、学习系统 3、机器学习发展简史 4、机器学习分类 二、归纳学习 1、归纳学习的基本概念 2、变型空间学习 3、归纳偏置 三、决策树 1、决策树组成 2、决策树的构造算法CLS 3、ID3 4、决策树的偏置 四、基于实例的学习…

嵌入式系统总线-片内总线

1.总线概述 总线是CPU与存储器和设备通信的机制&#xff0c;是计算机各部件之间传送数据、地址和控制信息的公共通道。 2.总线参数 总线宽度&#xff1a;又称总线位宽&#xff0c;指的是总线能同时传送数据的位数。如16位总线就是具有16位数据传送能力。 总线频率&#xff…

apex安装出错:TypeError unsupported operand type(s) for +: “NoneType“ and “str“

Windows 10 环境下安装apex报错&#xff1a;TypeError unsupported operand type(s) for : “NoneType“ and “str“ 1、首先apex不能直接pip install apex安装。 2、具体安装步骤&#xff1a;【python】【深度学习】apex的安装_apex python_愿东大没有食堂的博客-CSDN博客 …

深入竞品:解读竞品分析的艺术与策略

引言&#xff1a;为何竞品分析至关重要&#xff1f; 在当今的产品环境中&#xff0c;市场变得越来越拥挤。每个角落都有新的创业公司试图创造下一个行业的颠覆者&#xff0c;同时也有成熟的巨头在不断地迭代和优化他们的产品。在这样的环境中&#xff0c;不了解您的竞争对手是…

『C语言初阶』第八章 -结构体

前言 今天小羊又来给铁汁们分享关于C语言的结构体&#xff0c;在C语言中&#xff0c;结构体类型属于一种构造类型&#xff08;其他的构造类型还有&#xff1a;数组类型&#xff0c;联合类型&#xff09;&#xff0c;今天我们主要简单了解一下结构体。 一、结构体是什么&#x…

Linux Mint 21.3 计划于 2023 年圣诞节发布

Linux Mint 项目近日公布了基于 Ubuntu 的 Linux Mint 发行版下一个重要版本的一些初步细节&#xff0c;以及备受期待的基于 Debian 的 LMDE 6&#xff08;Linux Mint Debian Edition&#xff09;版本。 近日&#xff0c;Linux Mint 项目负责人克莱门特-勒菲弗&#xff08;Clem…

ECA模块详解

注意&#xff1a;本文代码为自己理解之后实现&#xff0c;与原论文代码原理相同但并不完全一样&#xff0c;主要是输入张量的形状不同&#xff0c;若更想了解原文代码&#xff0c;可以访问&#xff1a;https://blog.csdn.net/weixin_45084253/article/details/124282580 &#…