xilinx FPGA 除法器ip核(divider)的使用(VHDLVivado)

news2024/9/26 1:19:14

一、创建除法ip核

vivado的除法器ip核有三种类型,跟ISE相比多了一个LuMult类型,总结来说就是

LuMult:使用了DSP切片、块RAM和少量的FPGA逻辑原语(寄存器和lut),所以和Radix2相比占用fpga资源更少;可以选择有符号或者无符号类型数据;但是位数有限,只能用于运算量小的时候,被除数位宽:2~17,除数位宽:2~11;只能选择余数模式

Radix2:使用FPGA逻辑原语(寄存器和LUTs);可以选择有符号或者无符号类型数据;被除数位宽:2~64,除数位宽:2~64;可以选择余数模式或者分数模式

High Radix:使用DSP切片和块ram;只能选择有符号类型(所以要扩展一位);被除数位宽:4~64,除数位宽:4~64;只能选择分数模式

 tuser和tlast是两个输入信号,应该跟使能选择差不多

时序控制有两种模式:

Non Blocking Mode:非阻塞模式

 valid是数据输入有效信号(in),ready是数据已经输入的标志信号(out),也就是说只有当除数和被除数同时输入成功,才会进行运算,并且在计算完成后在valid有效时,输出结果。但是在图中的dout_valid第二次有效时,这次的除数是无效的,所以要避免这个情况。

Blocking Mode:阻塞模式

  valid是数据输入有效信号(in),ready是数据已经输入的标志信号(out),但是在该模式下对于除数和被除数是否有效的判定是一样的,只是两个过程是独立的,相当于单独判断被除数和除数 是否有效,然后一次放到两个fifo当中,然后依次去计算

可以根据实际需求去进行选择。
然后时延可以选择自动和手动,我一般选择手动,选择最小的时延,测试没有什么问题。
还有一个aclken,就是时钟使能信号,相当于ISE里面的ce信号,aresetn,就是复位信号(使用时要注意是低电平复位),相当于ISE里面的sclr信号。
注意看vivado的除法器ip核在两种模式下,都对除数和被除数加了使能信号,并且该数据至少保持两个周期才是有效的,因为使能信号有效后,下一个周期数据才被真正写入,所以实际上是数据输入的第二个周期才会真正开始计算,然后商的输出延时是根据ip核界面的时延决定的,比如我是手动调整为3个时延周期,那么就是在数据输入的第二个时钟开始计算,之后再三个时钟输出结果。

 二、编写VHDL程序



library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity chufa0 is
PORT (
    clk : IN STD_LOGIC;
    nd_chuf0 : IN STD_LOGIC;
    rdy0_chuf0 : OUT STD_LOGIC;
    Tbkg : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
    rdy1_chuf0 : OUT STD_LOGIC;
    Nbkg_Tobs : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
    rdy2_chuf0 : OUT STD_LOGIC;
    Nbkg_Tobs_Tbkg : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
  );
end chufa0;

architecture Behavioral of chufa0 is

COMPONENT div_gen_0
  PORT (
    aclk : IN STD_LOGIC;
    s_axis_divisor_tvalid : IN STD_LOGIC;
    s_axis_divisor_tready : OUT STD_LOGIC;
    s_axis_divisor_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
    s_axis_dividend_tvalid : IN STD_LOGIC;
    s_axis_dividend_tready : OUT STD_LOGIC;
    s_axis_dividend_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
    m_axis_dout_tvalid : OUT STD_LOGIC;
    m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
  );
END COMPONENT;
begin

chuf0 : div_gen_0
  PORT MAP (
    aclk => CLK,
    s_axis_divisor_tvalid => nd_chuf0,
    s_axis_divisor_tready => rdy0_chuf0,
    s_axis_divisor_tdata => Tbkg,
    s_axis_dividend_tvalid => nd_chuf0,
    s_axis_dividend_tready => rdy1_chuf0,
    s_axis_dividend_tdata => Nbkg_Tobs,
    m_axis_dout_tvalid => rdy2_chuf0,
    m_axis_dout_tdata => Nbkg_Tobs_Tbkg
  );
end Behavioral;

三、编写仿真程序


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;


entity chufa0_tb is

end chufa0_tb;

architecture Behavioral of chufa0_tb is

COMPONENT chufa0
PORT (
    clk : IN STD_LOGIC;
    nd_chuf0 : IN STD_LOGIC;
    rdy0_chuf0 : OUT STD_LOGIC;
    Tbkg : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
    rdy1_chuf0 : OUT STD_LOGIC;
    Nbkg_Tobs : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
    rdy2_chuf0 : OUT STD_LOGIC;
    Nbkg_Tobs_Tbkg : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
  );
  END COMPONENT;
    
    signal clk :  STD_LOGIC;
    signal nd_chuf0 :  STD_LOGIC;
   signal rdy0_chuf0 :  STD_LOGIC;
  signal  Tbkg :  STD_LOGIC_VECTOR(15 DOWNTO 0);
  signal  rdy1_chuf0 :  STD_LOGIC;
  signal  Nbkg_Tobs :  STD_LOGIC_VECTOR(23 DOWNTO 0);
 signal   rdy2_chuf0 :  STD_LOGIC;
  signal  Nbkg_Tobs_Tbkg :  STD_LOGIC_VECTOR(23 DOWNTO 0);

   -- Clock period definitions
   constant clk_period : time := 10 ns;
   
begin

	-- Instantiate the Unit Under Test (UUT)
   uut: chufa0 PORT MAP (
          clk => clk,
          nd_chuf0 => nd_chuf0,
          rdy0_chuf0 => rdy0_chuf0,
          Tbkg => Tbkg,
          rdy1_chuf0 => rdy1_chuf0,
          Nbkg_Tobs => Nbkg_Tobs,
          rdy2_chuf0 => rdy2_chuf0,
          Nbkg_Tobs_Tbkg => Nbkg_Tobs_Tbkg
        );

   -- Clock process definitions
   clk_process :process
   begin
		clk <= '0';
		wait for clk_period/2;
		clk <= '1';
		wait for clk_period/2;
   end process;
 

   -- Stimulus process
   stim_proc: process
   begin		
      -- hold reset state for 100 ns.
     nd_chuf0 <= '0';
     wait for 25ns; 
     nd_chuf0 <= '1';
     Nbkg_Tobs <= X"001008";
     Tbkg <= X"0008";
     wait for clk_period*1; 
     Nbkg_Tobs <= X"001118";
     Tbkg <= X"0008";
     wait for clk_period*1; 
     Nbkg_Tobs <= X"000000";
     Tbkg <= X"0000";
     wait for clk_period*5; 
     
     Nbkg_Tobs <= X"001008";
     Tbkg <= X"1008";
     wait for clk_period*1; 
     nd_chuf0 <= '0';
     wait for clk_period*1; 
     
     nd_chuf0 <= '1';
     Nbkg_Tobs <= X"001008";
     Tbkg <= X"1008";
     wait for clk_period*5; 
     Nbkg_Tobs <= X"101008";
     Tbkg <= X"0008";
     wait for clk_period*5; 
     Nbkg_Tobs <= X"001008";
     Tbkg <= X"0008";
     wait for clk_period*5; 
     
     nd_chuf0 <= '0';
     wait for clk_period*1; 
     nd_chuf0 <= '1';
     Nbkg_Tobs <= X"010108";
     Tbkg <= X"0108";
     wait for clk_period*1; 
     Nbkg_Tobs <= X"000000";
     Tbkg <= X"0000";
     wait for clk_period*5; 
     
     nd_chuf0 <= '0';
     wait for clk_period*1; 
     nd_chuf0 <= '1';
     Nbkg_Tobs <= X"010108";
     Tbkg <= X"0108";
     wait for clk_period*1; 
     nd_chuf0 <= '0';
     wait for clk_period*1; 
     Nbkg_Tobs <= X"000000";
     Tbkg <= X"0000";

      wait for clk_period*5;
      
      nd_chuf0 <= '1';
Nbkg_Tobs <= X"010108";
     Tbkg <= X"0108";
     wait for clk_period*2; 
     Nbkg_Tobs <= X"000000";
     Tbkg <= X"0000";
     nd_chuf0 <= '0';
     wait for clk_period*5; 
      -- insert stimulus here 

      wait;
   end process;
   

end Behavioral;

四、RTL图:

五、仿真图:

可以看到,因为除数和被除数的ready信号在使能无效时,一直为高,所以当除数被除数第一次被使能时,使能和ready信号是同时有效的,所以就直接在数据输入后的第三个时钟输出正确结果。而在第一个箭头位置,数据只维持了一个时钟,所以在ready信号有效时,数据已经发送变化,故没有产生正确结果。在第二个箭头处,由于数据输入的下一个时钟,使能信号没有了,所以也没有正确结果。因此,我们必须保证数据和使能信号要保持两个时钟,才能正确输出。(严格来说,就是使能信号必须有效至少两个时钟,而数据只要在ready信号有效时有效就行,但是由于测试结果和手册给的时序图不太一样,ready在空闲的时候是高,而手册上是低,所以只能说最好数据和使能信号同时保持两个时钟。不知道是不是我ip核设置的问题)

 当除数或者被除数最高位是1时,就表示是负数(在该种模式下),所以结果也是不正确的。

 综上,就是我们让使能信号两个时钟有效,就可以了,因为在这两个时钟里面,ready信号都只可能有效一次,然后数据两个时钟也是 一样的,就会在输出valid有效输出正确结果。

更正:之前说的输入使能和数据要保持至少两个时钟是错误的,根据ip手册里面只能得出在使能和tready信号都有效的时刻的数据才是输入数据,但是至于要使能之后几个时钟tready才变成有效是不确定的(tready是out信号),所以如果只是单纯用除法ip,可以使用第二种阻塞模式,将使能输入信号一直有效,就可以计算所有数据。但如果是要和其他模块进行级联计算,那么就可以使用非阻塞模式,然后通过使用状态机去使能除数和被除数的信号,在拉高使能信号后,进入下一个状态,并开始判断tready是否有效,有效之后就拉低使能信号,就可以只计算一次呢,注意数据的周期就要尽可能大于tready拉高的时间。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/809679.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

CS_SAVEBITS 这个样式有什么作用?

简单来说&#xff0c;如果你在创建窗口的时候在窗口类中指定了 CS_SAVEBITS 标志&#xff0c;则窗口管理器会尝试保存此窗口所遮盖的区域的位图数据。 但是&#xff0c;这里比较关键的问题是&#xff1a;为什么要这样做&#xff1f;只有明白了这其中的原理&#xff0c;你才会在…

2023.07.13力扣6题

931. 下降路径最小和 给你一个 n x n 的 方形 整数数组 matrix &#xff0c;请你找出并返回通过 matrix 的下降路径 的 最小和 。 下降路径可以从第一行中的任何元素开始&#xff0c;并从每一行中选择一个元素。在下一行选择的元素和当前行所选元素最多相隔一列&#xff08;即位…

Python批量将Excel内指定列的数据向上移动一行

本文介绍基于Python语言&#xff0c;针对一个文件夹下大量的Excel表格文件&#xff0c;对其中的每一个文件加以操作——将其中指定的若干列的数据部分都向上移动一行&#xff0c;并将所有操作完毕的Excel表格文件中的数据加以合并&#xff0c;生成一个新的Excel文件的方法。 首…

走进Linux世界【七、Linux网络及快照和克隆】

Linux系统学习 走进Linux世界【一、Linux概述】 走进Linux世界【二、VM与Linux安装】 走进Linux世界【三、Linux文件与路径】 走进Linux世界【四、Linux基本命令一】 走进Linux世界【五、Linux基本命令二】 走进Linux世界【六、Linux编辑器vim】 走进Linux世界【七、Lin…

Nest grpc 实践之调用 python ddddocr 库

我曾经写过一个项目 ddddocr_server&#xff0c;使用 fastapi 提供 http 接口&#xff0c;以此来调用 ddddocr 库。 其他语言想要调用的话&#xff0c;则是通过 http 协议的方式来调用。然而 http 协议的开销不小&#xff0c;而 Websocket 调用又不灵活&#xff0c;此时针对这…

【计网】TCP在可靠传输中都干了啥

文章目录 1、概述2、校验和3、序列号和确认应答机制4、重传机制4.1、介绍4.2、超时重传4.3、快速重传 5、滑动窗口协议5.1、介绍5.2、发送方的滑动窗口5.3、接收方的滑动窗口 6、流量控制7、拥塞控制7.1、介绍7.2、慢开始7.3、拥塞避免7.4、快重传和快恢复 1、概述 TCP 是面向…

Day45 算法记录| 动态规划 12

股票问题 309. 买卖股票的最佳时机含冷冻期714.买卖股票的最佳时机含手续费 309. 买卖股票的最佳时机含冷冻期 这个视频讲解的很好 309.最佳买卖股票时机含冷冻期 class Solution {public int maxProfit(int[] prices) {int day prices.length;int [][] dp new int[day][2…

【雕爷学编程】MicroPython动手做(14)——掌控板之OLED屏幕

知识点&#xff1a;什么是掌控板&#xff1f; 掌控板是一块普及STEAM创客教育、人工智能教育、机器人编程教育的开源智能硬件。它集成ESP-32高性能双核芯片&#xff0c;支持WiFi和蓝牙双模通信&#xff0c;可作为物联网节点&#xff0c;实现物联网应用。同时掌控板上集成了OLED…

IOS UICollectionView 设置cell大小不生效问题

代码设置flowLayout.itemSize 单元格并没有改变布局大小&#xff0c; 解决办法如下图&#xff1a;把View flow layout 的estimate size 设置为None&#xff0c;上面设置的itemSize 生效了。

【Spring Boot】实战:实现优雅的数据返回

实战&#xff1a;实现优雅的数据返回 本节介绍如何让前后台优雅地进行数据交互&#xff0c;正常的数据如何统一数据格式&#xff0c;以及异常情况如何统一处理并返回统一格式的数据。 1.为什么要统一返回值 在项目开发过程中经常会涉及服务端、客户端接口数据传输或前后台分…

不同路径 II

一个机器人位于一个 m x n 网格的左上角 &#xff08;起始点在下图中标记为 “Start” &#xff09;。 机器人每次只能向下或者向右移动一步。机器人试图达到网格的右下角&#xff08;在下图中标记为 “Finish”&#xff09;。 现在考虑网格中有障碍物。那么从左上角到右下角…

Android 面试题 ANR 五

&#x1f525; 什么是 ANR &#x1f525; ANR(Application Not Responding )应用无响应的简称&#xff0c;是为了在 APP卡死时&#xff0c;用户 可以强制退出APP的选择&#xff0c;从而避免卡机无响应问题&#xff0c;这是Android系统的一种自我保护机制。 在Android中&#xf…

Vue基础 --- 动态组件 插槽 自定义指令

1. 动态组件 1.1 什么是动态组件 动态组件指的是动态切换组件的显示与隐藏。 1.2 如何实现动态组件渲染 vue 提供了一个内置的 <component> 组件&#xff0c;专门用来实现动态组件的渲染。示例代码如下&#xff1a; data() { <!-- 1.当前要渲染的组件名称 -->…

ad+硬件每日学习十个知识点(13)23.7.24(MOS管纠错!!!)

1.MOS管到底怎么接&#xff1f;&#xff08;我一直都错了&#xff09; 2.MOS管的非饱和区 答&#xff1a; 3.MOS管的饱和区 答&#xff1a; 4.MOS管的截止区和击穿区 答&#xff1a; 5.做开关&#xff0c;让三极管工作在饱和区&#xff0c;让MOS管工作在非饱和区&…

rocketmq rsqldb 简单记录

GitHub 地址 https://github.com/alibaba/rsqldb/tree/main&#xff0c;是和目前stream sql化看齐的Rocketmq的sql&#xff0c;类似还有kafka的sqlDB 和flink sql。 目前版本0.2 &#xff0c;主要提供rest模式调用&#xff0c;controller类为public class RsqlController支持的…

SQL server 文件占用硬盘过大 日志 读写分离同步文件过大清理 DBCC收缩数据库 分发数据库distribution收缩

一顿操作猛如虎 又省出好几十G硬盘空间 小破站又能蹦跶了 目标&#xff1a;实例库日志压缩清理,分发数据库压缩清理 采用SQL 脚本收缩数据库 截断事务日志 backup log [数据库名] with no_log收缩数据库 dbcc shrinkdatabase ([数据库名]) 4.以上操作都不行的话&#xff0…

联发科CEO:未获准向华为供货,换机潮已过去,手机需求不会更差

据钜亨网报道&#xff0c;联发科近期召开了业绩说明会。蔡力行&#xff0c;该公司副董事长兼首席执行官&#xff0c;表明当前手机市场需求保持稳定&#xff0c;并且随着过去两年用户更换潮的过去&#xff0c;对手机市场明年有一定期望。 根据蔡力行的指示&#xff0c;联发科正在…

计算机和医学的交叉融合到底有多强呢?

目录 简介 人工智能在医学诊断中的应用 计算机辅助药物研发 计算机技术在基因组学研究中的应用 数字病理学 穿戴式医疗设备 虚拟现实&#xff08;VR&#xff09;和增强现实&#xff08;AR&#xff09;技术在医学教育中的应用 机器人手术 区块链技术在医学领域的应用 遥…

论文解读:DeepSort(目标跟踪)

本文来自公众号“AI大道理” —————— ​ 论文原文&#xff1a; https://arxiv.org/abs/1703.07402 SORT是一个比较简单的算法&#xff0c;用FrRCNN做探测&#xff0c;卡尔曼滤波和匈牙利算法做跟踪。 缺点&#xff1a; 线性恒速运动模型可能并不精确&#xff0c;未考虑…

二十二章:通过响应缩放进行不确定性估计,以减轻弱监督语义分割中伪掩码噪声的影响

0.摘要 弱监督语义分割&#xff08;WSSS&#xff09;在不需要密集注释的情况下对对象进行分割。然而&#xff0c;生成的伪掩码存在明显的噪声像素&#xff0c;这导致在这些伪掩码上训练的分割模型表现不佳。但是&#xff0c;很少有研究注意到或解决这个问题&#xff0c;即使在改…