【FPGA入门】第七篇、FPGA驱动VGA实现动态图像移动

news2024/10/7 4:24:36

目录

第一部分、实现效果

第二部分、动态VGA显示的原理

1、将动态显示的区域提前进行赋值

2、图像块的移动是每张图片叠加后的效果

3、如何实现图像块位置的改变

第三部分、系统结构和驱动波形

1、系统的Top-down结构

2、图像块移动的驱动波形

第四部分、代码

1、同步信号驱动vga_driver.v

2、方块移动和rgb输出模块rgb.out.v

3、顶层模块top_vga_move.v

第五部分、总结

1、关于显示的范围无法填满整个屏幕的问题

2、源码地址


第一部分、实现效果

FPGA驱动VGA实现动态图像移动

第二部分、动态VGA显示的原理

        首先,本次测试的效果还是在显示器分辨率为:640*480@60Hz的情况下进行测试。

1、将动态显示的区域提前进行赋值

        如果假设整块屏幕显示的是彩条,这个时候我想要一个图像块在这个彩条图像上移动,那么rgb应该优先被赋值为该图像块的显示内容,然后rgb再被赋值为彩条。

2、图像块的移动是每张图片叠加后的效果

        白色的图像块看起来运动的很流畅,其实是人眼的视觉差,因为分辨为640*480@60Hz,表示电脑屏幕1s的时间要显示60张图片,图像块的移动是因为该图像块在每张图片上的位置都改变一次,因此就能看到白色图像块流畅的移动。

3、如何实现图像块位置的改变

        定义两个计数器,x,y。假设每一帧画面显示完,就让x和y进行加1。当x或者y超过范围时就进行自减,这样就会形成块状碰到屏幕边沿之后图像块返回的动画。

        如果这里没有看懂,可以去参考前面这篇博客。

第三部分、系统结构和驱动波形

        FPGA的设计,清晰的设计思路是最重要的,如何做到时刻保持一个清晰的设计思路,我个人认为最好的解决办法就是将各种结构图,波形时序图用画图软件提前画出来,再去考虑怎么写代码。但是这样的后果就是要花费很多的时间,有时候做个实验要很久,害,鱼与熊掌不可兼得🤪。

1、系统的Top-down结构

        前一篇文章在vga驱动这部分写的不容易移植,这里我改写代码,定义了很多参数,使VGA的驱动代码移植起来比较容易。

2、图像块移动的驱动波形

        由上面的top-down结构图可知,我把vga的驱动分成了两个部分,vga_driver模块主要负责实现行同步信号和场同步信号的驱动。如下图

         仿真波形验证vga_driver模块是否符合逻辑要求,这里是我的截图不太清楚,你要是对自己写的代码放心,就不需要做仿真。

         rgb_out模块用来实现方块的移动和rgb输出,方块移动的驱动波形图如下图所示

         仿真波形检查flag反转时,计数器x和y的值是否和上面的方块驱动波形一致。

第四部分、代码

1、同步信号驱动vga_driver.v

        可移植性较好的vga同步信号驱动程序。

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : vga_driver.v
// Create : 2023-06-07 19:56:03
// -----------------------------------------------------------------------------
module vga_driver
//参数定义
#(
	//分辨率640*480@60Hz 
	parameter H_CNT_BIT_WIDTH   =   10'd10  ,   //行扫描计数器位宽
			  H_SYNC    		=   10'd96  ,   //行同步
	          H_BACK    		=   10'd40  ,   //行时序后沿
	          H_LEFT    		=   10'd8   ,   //行时序左边框
	          H_VALID   		=   10'd640 ,   //行有效数据
	          H_RIGHT   		=   10'd8   ,   //行时序右边框
	          H_FRONT   		=   10'd8   ,   //行时序前沿
	          H_TOTAL   		=   10'd800 ,   //行扫描周期

	          V_CNT_BIT_WIDTH   =   10'd10  ,   //场扫描计数器位宽
	  		  V_SYNC   			=   10'd2   ,   //场同步
	          V_BACK   			=   10'd25  ,   //场时序后沿
	          V_TOP    			=   10'd8   ,   //场时序上边框
	          V_VALID  			=   10'd480 ,   //场有效数据
	          V_BOTTOM 			=   10'd8   ,   //场时序下边框
	          V_FRONT  			=   10'd2   ,   //场时序前沿
	          V_TOTAL  			=   10'd525 )   //场扫描周期
//模块端口定义
(
	input wire clk,//分辨率640*480@60Hz对应于25MHz的时钟
	input wire rst_n,
	output reg hsync,
	output reg vsync,
	output reg [H_CNT_BIT_WIDTH-1'b1 : 0] hsync_cnt,
	output reg [V_CNT_BIT_WIDTH-1'b1 : 0] vsync_cnt
	);

//行扫描计数器
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		hsync_cnt <= 'd0;
	end
	else if (hsync_cnt == H_TOTAL - 1'b1) begin
		hsync_cnt <= 'd0;
	end
	else begin
		hsync_cnt <= hsync_cnt + 1'b1;
	end
end
//行同步信号
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		hsync <= 1'b1;
	end
	else if (hsync_cnt == H_SYNC  - 1'b1) begin
		hsync <= 1'b0;
	end
	else if(hsync_cnt == H_TOTAL - 1'b1)begin
		hsync <= 1'b1;
	end
end


//场扫描计数器
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		vsync_cnt <= 'd0;
	end
	else if ((vsync_cnt == V_TOTAL - 1'b1)   &&  (hsync_cnt == H_TOTAL - 1'b1)) begin
		vsync_cnt <= 'd0;
	end
	else if (hsync_cnt == H_TOTAL - 1'b1) begin
		vsync_cnt <= vsync_cnt + 1'b1;
	end
end

//场同步信号
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		vsync <= 1'b1;	
	end
	else if ((hsync_cnt == H_TOTAL - 1'b1) && (vsync_cnt == V_SYNC - 1'b1)) begin
		vsync <= 1'b0;
	end
	else if((hsync_cnt == H_TOTAL - 1'b1) && (vsync_cnt == V_TOTAL - 1'b1)) begin
		vsync <= 1'b1;
	end
end

endmodule

2、方块移动和rgb输出模块rgb.out.v

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : rgb_out.v
// Create : 2023-06-07 20:51:48
// -----------------------------------------------------------------------------
module rgb_out
//参数定义
#(
	//分辨率640*480@60Hz 
	parameter H_CNT_BIT_WIDTH   =   10'd10  ,   //行扫描计数器位宽
			  H_SYNC    		=   10'd96  ,   //行同步
	          H_BACK    		=   10'd40  ,   //行时序后沿
	          H_LEFT    		=   10'd8   ,   //行时序左边框
	          H_VALID   		=   10'd640 ,   //行有效数据
	          H_RIGHT   		=   10'd8   ,   //行时序右边框
	          H_FRONT   		=   10'd8   ,   //行时序前沿
	          H_TOTAL   		=   10'd800 ,   //行扫描周期

	          V_CNT_BIT_WIDTH   =   10'd10  ,   //场扫描计数器位宽
	  		  V_SYNC   			=   10'd2   ,   //场同步
	          V_BACK   			=   10'd25  ,   //场时序后沿
	          V_TOP    			=   10'd8   ,   //场时序上边框
	          V_VALID  			=   10'd480 ,   //场有效数据
	          V_BOTTOM 			=   10'd8   ,   //场时序下边框
	          V_FRONT  			=   10'd2   ,   //场时序前沿
	          V_TOTAL  			=   10'd525 )   //场扫描周期
(
	input wire clk,  //分辨率640*480@60Hz对应于25MHz的时钟
	input wire rst_n,
	output wire hsync,
	output wire vsync,
	output reg [7:0]rgb
	);
//方块的长和宽
parameter LENGTH = 200;
parameter WIDTH  = 200;

reg frame_flag;             		//帧结束标志 
reg [H_CNT_BIT_WIDTH-1 : 0] x;      //位置变量x,这里位宽和hsync_cnt计数器一致,是绝对满足要求的
reg turn_flag_x;            		//位置变量x翻转标志


reg [V_CNT_BIT_WIDTH-1 : 0] y;      //位置变量y,这里位宽和vsync_cnt计数器一致,是绝对满足要求的
reg turn_flag_y;            		//位置变量y翻转标志


wire [H_CNT_BIT_WIDTH-1 : 0] hsync_cnt;
wire [V_CNT_BIT_WIDTH-1 : 0] vsync_cnt;
//例化驱动程序
vga_driver  inst_a_vga_driver (
		.clk       (clk),
		.rst_n     (rst_n),
		.hsync     (hsync),
		.vsync     (vsync),
		.hsync_cnt (hsync_cnt),
		.vsync_cnt (vsync_cnt)
	);


//帧结束标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		frame_flag <= 1'b0;
	end
	else if ((hsync_cnt == H_TOTAL - 2) && (vsync_cnt == V_TOTAL - 1)) begin
		frame_flag <= 1'b1;
	end
	else begin
		frame_flag <= 1'b0;
	end
end

//变量y
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		y <= 'd0;
	end
	else if ((turn_flag_y == 1'b0 && frame_flag == 1'b1 && (y == V_VALID - WIDTH - 1)) || (turn_flag_y == 1'b1 && frame_flag == 1'b1 && (y == 'd0)))begin
		y <= y;
	end
	else if (turn_flag_y == 1'b0 && frame_flag == 1'b1) begin
		y <= y + 1'b1;
	end
	else if (turn_flag_y == 1'b1 && frame_flag == 1'b1) begin
		y <= y - 1'b1;
	end

end

//位置变量y翻转标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		turn_flag_y <= 1'b0;
	end
	else if ((y == V_VALID - WIDTH - 1) && frame_flag == 1'b1 && turn_flag_y == 1'b0) begin
		turn_flag_y <= 1'b1;
	end
	else if (y == 'd0 && frame_flag == 1'b1 && turn_flag_y == 1'b1) begin
		turn_flag_y <= 1'b0;
	end
end



//变量x
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		x <= 'd0;
	end
	else if((turn_flag_x == 1'b0 && frame_flag == 1'b1 && x == (H_VALID - LENGTH - 1'b1)) || (turn_flag_x == 1'b1 && frame_flag == 1'b1 && x == 'd0)) begin
		x <= x;
	end
	else if (turn_flag_x == 1'b0 && frame_flag == 1'b1) begin
		x <= x + 1'b1;
	end
	else if (turn_flag_x == 1'b1 && frame_flag == 1'b1) begin
		x <= x - 1'b1;
	end
end

//位置变量x翻转标志
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		turn_flag_x <= 1'b0;
	end
	else if (turn_flag_x == 1'b0 && frame_flag == 1'b1 && x == H_VALID - LENGTH - 1'b1) begin
		turn_flag_x <= 1'b1;
	end
	else if (turn_flag_x == 1'b1 && frame_flag == 1'b1 && x == 'd0) begin
		turn_flag_x <= 1'b0;
	end
end


//rgb输出
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 0) begin
		rgb <= 8'b000_000_00;
	end
	//白色方块
	else if((hsync_cnt>= H_SYNC + H_BACK + H_LEFT + x) && (hsync_cnt <= H_SYNC + H_BACK + H_LEFT + x + 200) &&(vsync_cnt>= V_SYNC + V_BACK + V_TOP + y) && (vsync_cnt <= V_SYNC + V_BACK + V_TOP + y + 200)) begin
		rgb <= 'b111_111_11;//白色
	end
	//横彩条
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && (vsync_cnt>= V_SYNC + V_BACK + V_TOP) && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 159) begin
		rgb <= 'b111_000_00;//红色
	end
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && vsync_cnt>= V_SYNC + V_BACK + V_TOP + 160 && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 160 + 159)begin
		rgb <= 'b000_111_00;//绿色
	end
	else if ((hsync_cnt>= H_SYNC + H_BACK + H_LEFT) && (hsync_cnt <= H_TOTAL - H_FRONT - H_RIGHT - 1'b1) && vsync_cnt>= V_SYNC + V_BACK + V_TOP + 160 + 160 && vsync_cnt <= V_SYNC + V_BACK + V_TOP + 160 + 160 + 159)begin
		rgb <= 'b000_000_11;//蓝色
	end
	else begin//其它区域
        rgb <= 'b000_000_00;//不显示
    end
end


endmodule

3、顶层模块top_vga_move.v

// -----------------------------------------------------------------------------
// Copyright (c) 2014-2023 All rights reserved
// -----------------------------------------------------------------------------
// Author : BigFartPeach
// CSDN   : 大屁桃
// E-mail : 2624507313@qq.com
// File   : top_vga_move.v
// Create : 2023-06-09 12:59:01
// -----------------------------------------------------------------------------
module top_vga_move(
	input wire clk,//50M板载时钟
	input wire rst_n,
	output wire hsync,
	output wire vsync,
	output wire [7:0]rgb
	);

wire clk_25M;

//例化锁相环产生的25MHz时钟	
gen_clk25 inst_gen_clk25(
	// Clock in ports
	.CLK_IN1(clk),      // IN
	// Clock out ports
	.CLK_OUT1(clk_25M));    // OUT

//rgb输出模块例化
rgb_out  inst_rgb_out (
	.clk   (clk_25M),
	.rst_n (rst_n),
	.hsync (hsync),
	.vsync (vsync),
	.rgb   (rgb)
	);

endmodule

第五部分、总结

1、关于显示的范围无法填满整个屏幕的问题

        注意:一般你们不会遇到这种问题,遇到了也别慌,这不是代码的问题,需要设置一下显示器,我的显示器按下这个按键就可以了

2、源码地址

        关于本次设计的工程代码下载链接如下,免费工程审核了两天不给过,这里我设置为1个积分下载,20分钟就给我审核过了,哈哈,不怪我,真不怪我,没积分的留下邮箱即可:       

        FPGA入门第七篇、FPGA实现VGA接口驱动资源-CSDN文库

      最后希望我的博客对你有帮助,都看到这里了,要不点个赞再走🤩🤩

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/683501.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

大语模型前世今生

引言&#xff1a;席卷世界的大语言模型浪潮 2022年11月30日&#xff0c;OpenAI公司发布了ChatGPT。这迅速成为了社会各界关注的焦点&#xff0c;ChatGPT能够如此快速&#xff0c;准确的完成文本生成&#xff0c;信息抽取&#xff0c;机器翻译&#xff0c;甚至代码生成等复杂任务…

数字化转型|银行业数据中心数字化转型之模型篇 01

导语&#xff1a; 银行业数据中心数字化转型是一项系统性工程&#xff0c;既涉及管理层面转型——包括数字化转型战略、基础架构和技术架构转型、技术创新和知识体系转型&#xff0c;又涉及执行层面转型——包括人员管理&#xff08;P&#xff09;、流程管理&#xff08;P&…

突破官方限制!最强TV观影神器我都给你找来了!

随着移动互联网的兴起&#xff0c;我想很多人家里的电视机都积起了灰&#xff0c;大家追剧的设备都从电视机变成了手机、平板、电脑 但这两年&#xff0c;我发现这个事情又慢慢有在转变了&#xff1a;随着大家&#xff08;尤其是年轻人&#xff09;对观看体验的追求&#xff0…

接口的学习

接口 接口可以理解为一种规则&#xff0c;是对行为的抽象 如何定义一个接口 使用关键词interface定义 public interface 接口名{} 接口不能实例化 接口和类之间是实现关系&#xff0c;通过关键词implements关键字表示 public class 类名 implements 接口名{} 接口的子类…

三个数据恢复方法解决移动硬盘数据丢失问题!

移动硬盘容量大、写入和读取速度快&#xff0c;受到很多人的欢迎。但是&#xff0c;无论数据存储在何处&#xff0c;都有数据丢失的风险。今天&#xff0c;小编来介绍一下移动硬盘数据恢复的方法&#xff0c;以免大家不慎删除移动硬盘数据而陷入无助的境地! 方法1.使用命令恢复…

全网最详细,性能测试-测试方法总结(压力/负载)超详细

目录&#xff1a;导读 前言一、Python编程入门到精通二、接口自动化项目实战三、Web自动化项目实战四、App自动化项目实战五、一线大厂简历六、测试开发DevOps体系七、常用自动化测试工具八、JMeter性能测试九、总结&#xff08;尾部小惊喜&#xff09; 前言 并发/负载/压力理…

leetcode123. 买卖股票的最佳时机 III(java)

买卖股票的最佳时机 leetcode123. 买卖股票的最佳时机 III题目描述动态规划代码演示 动态规划专题 leetcode123. 买卖股票的最佳时机 III 来源&#xff1a;力扣&#xff08;LeetCode&#xff09; 链接&#xff1a;https://leetcode.cn/problems/best-time-to-buy-and-sell-sto…

API手册使用方式说明

API手册使用方式说明 其实我们在API阶段,更多是要去学习别人已有内容,比如方法的使用 但是这么多的方法对于新手来说其实是不太友好的,刚开始根本记不住呀 所以API手册就是我们的一个好帮手,我们可以在API手册查到目标内容的介绍 类似于小学刚学字的时候,不会的字就可以去查字…

连接器信号完整性仿真教程 四

本文详细讲解了CST做连接器信号完整性仿真时,如何从材料库中载入材料,如何新增材料、如何编辑材料属性、如何将材料添加到库中,以及如何设置仿真模型材料、并以实例逐步做了详细演示。 一 从材料库中载入材料 从材料库中载入材料有两种方法。 方法一 点击菜单"Modelin…

Android 12 以上PendingIntent使用注意FLAG_IMMUTABLE

遇到如下报错&#xff1a; Fatal Exception: java.langlllegalArgumentException : Targeting S (version 31 and above) reures that one of FLAG_MMUTABLE r FLA-MUTABLE be specfed when creating a Pendinglntent. Strongly consider using FLAG_JMMUTABLE only use FLAG_M…

Redis的缓存类型分析

HashMap/ConcurrentHashMap HashMap 是一种基于哈希表的集合类&#xff0c;它提供了快速的插入、查找和删除操作。是很多程序员接触的第一种缓存 , 因为现实业务场景里&#xff0c;我们可能需要给缓存添加缓存统计、过期失效、淘汰策略等功能&#xff0c;HashMap 的功能就显得…

如何搭建产品知识库?让产品知识库管理更有序高效!

在现代企业中&#xff0c;一个完善的产品知识库对于提升团队的工作效率和产品质量至关重要。本文将介绍如何搭建一个高效的产品知识库&#xff0c;并提供一些管理方法&#xff0c;以使知识库的管理更有序、高效。 随着科技的不断进步和市场竞争的加剧&#xff0c;企业对于高效…

python基础学习--01

1.python环境的安装&#xff1a; 1.安装 Python 解释器&#xff1a;https://www.python.org/ 1.选择下载&#xff1a; 2.选择windows x86 -64 可执行的安装文件 (根据自己电脑的操作系统选择&#xff09; 3.安装完成后 左下角点击开始地方能看到这些说明安装好了。 4.安装…

SpringBoot原理(1)--@SpringBootApplication注解使用和原理

文章目录 前言主启动类的配置SpringBootConfiguration注解验证启动类是否被注入到spring容器中 ComponentScan 注解ComponentScan 注解解析与路径扫描 EnableAutoConfiguration注解 问题解答1.AutoConfigurationPackage和ComponentScan的作用是否冲突起因回答 2.为什么能实现自…

双路高速 AD 实验

目录 双路高速 AD 实验 1、简介 3PA1030 芯片 2、实验任务 3、程序设计 3.1、hs_dual_ad 模块代码 clk_wiz IP 核 的添加方法 ILA IP 核&#xff08;集成逻辑分析器&#xff1a;Integrated Logic Analyzer&#xff0c;ILA&#xff09; 4、硬件设计 4.1、添加.xdc约束…

23年软考网络工程师是什么?主要是考什么,有什么用?

网络工程师每年考两次&#xff0c;相比其他的软考考试一年中考的机会又多了一次 网络工程师证书考到后&#xff0c;通过本级考试的合格人员能根据应用部门的要求进行网络系统的规划、设计和网络设备的软硬件安装调试工作&#xff0c;能进行网络系统的运行、维护和管理&#xf…

汽车行业项目管理面临的5个挑战及解决方案

汽车行业正跨越式地迈向新的未来。其目前的发展主要由四大趋势驱动&#xff1a;连接性、自动驾驶汽车、共享出行和电气化。这给汽车企业带来了诸多挑战&#xff1a;竞争加剧&#xff0c;快速发展带来的频繁变化&#xff0c;与软件公司建立伙伴关系&#xff0c;以及其他相关问题…

GIS 功能模块实现

文章目录 1. GIS 模块流程图2. 网页端地图缓存的实现3. GIS 图形操作功能实现1 &#xff09;地图漫游2 &#xff09;对象删除3 &#xff09;选择复制属性查看 GIS 基本功能模块主要是在表现层开发的&#xff0c;是在OpenLayers 开发框架提供的接口上&#xff0c;通过Geo Server…

【计算机网络复习之路】应用层(谢希仁第八版)

专栏&#xff1a;计算机网络复习之路 目录 一、域名系统DNS 1.1 本地域名服务器采用迭代查询 1.2 本地域名服务器采用递归查询 二、文件传送协议FTP 三、远程终端协议TELNET 四、万维网WWW (World Wide Web) 4.1 万维网需要解决的问题 【1】怎样标志分布在整个互联网…

在 Swift 中使用 async let 并发运行后台任务

文章目录 前言长期运行的任务阻塞了UI使用 async/await 在后台执行任务在后台执行多个任务使用 "async let " 下载多个文件结论 前言 Async/await 语法是在 Swift 5.5 引入的&#xff0c;在 WWDC 2021中的 Meet async/await in Swift 对齐进行了介绍。它是编写异步代…