如何利用超级电容设计简单的不间断电源

news2024/9/21 16:22:50

如何利用超级电容设计简单的不间断电源

在许多应用中,电源电压无论在什么情况下都持续可用是很重要的。要确保这一点有时并不容易。一种新概念可以为设计极其紧凑的不间断电源提供一种优化解决方案。

问题:

在电源关键型应用中,如何更轻松地获得持续、可靠的电源?

答案:

在许多应用中,电源电压无论在什么情况下都持续可用是很重要的。要确保这一点有时并不容易。一种新概念可以为设计极其紧凑的不间断电源提供一种优化解决方案。

有多种应用需要不间断电源。一个例子是用于冗余数据存储的RAID系统,必须对其进行保护,从而在不方便的时候(如数据备份活动期间)发生电源故障时不会丢失数据。具有实时时钟的系统,也必须为其连续供电。这可以来自电池或其他备用解决方案。其他应用包括汽车行业的遥测应用和给药系统——例如医疗健康行业使用的受控胰岛素泵。

图1.不间断电源的典型应用

图1显示了不间断电源的典型工业应用。这里是为工业传感器供电。系统的可靠性主要取决于该传感器的电源。当系统电压可用时,使用线性充电调节器IC来为超级电容充电。如果系统电压下降,则使用升压调节器将来自储能系统的能量提高至所需的电源电压水平。该系统工作良好,但因为需要许多不同的能量转换器而难以实现。此外,在许多应用中,必须确保没有能量从储能系统流回电源(如图1所示)。如图1所示,超级电容只应当为传感器电路供电,而不为任何其他可能连接到24 V线路的电子设备供电(如图1左侧所示)。储能系统通常设计为为本地负载供电,而不是为连接到24 V电源电压的整个系统供电。这就需要图1中的二极管D。唯样商城,元器件现货速发~

图2.集成众多系统功能的Continua备用电源概念

图2显示了ADI公司的 MAX38889 所支持的一种新概念。这是一款高度集成的备用电源解决方案,名为Continua™,适用于最高5 V的电源轨,只需一个IC和几个外部无源元件。MAX38889集成了半桥,可在高效率降压和升压模式下交替工作。

图3.利用ADI公司的MAX38889实现微型Continua备用电源解决方案

图3显示了一个完整的可运行电路。逻辑开关和功率开关全都集成于其中,因此除了超级电容之外,只需要一个小型外部芯片级电感和几个备用电容。

集成的高端功率开关采用ADI公司的True Shutdown™技术来执行。因此,系统电压可以与电容电压分离,如果电容电压更高,不会有电流从电容流向系统。

虽然市场上有大量针对各种电压和电流范围的备用电源解决方案,但MAX38889 Continua是一款独特的备用电源解决方案,其设计紧凑,可以轻松添加到5 V或3.3 V电源线上,所需的开发和实施工作量非常少。该解决方案在充电和放电模式下还具有高达94%的高转换效率,储能系统的尺寸和成本得以最小化。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/416855.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【Android平板编程】远程Ubuntu服务器code-server编程写代码

文章目录前言1.ubuntu本地安装code-server2. 安装cpolar内网穿透3. 创建隧道映射本地端口4. 安卓平板测试访问5.固定域名公网地址5.结语前言 本次教程将在 Ubuntu 服务器环境下安装 code-server ,并使用 Android 安卓平板远程 Ubuntu 服务,进行远程编程开…

Python pandas和numpy用法参考(转)

以下是转载:Python pandas用法 - 简书介绍 在Python中,pandas是基于NumPy数组构建的,使数据预处理、清洗、分析工作变得更快更简单。pandas是专门为处理表格和混杂数据设计的,而NumPy更适合处...https://www.jianshu.com/p/840ba1…

为什么你这么累,销量还不如那些轻松工作的同行?

管理混乱 忙碌却不见成效 在工业品行业做了10多年的小张,最近向我吐槽:每天忙得团团转,结果销售业绩还不如那些整天轻松工作的同行。几番沟通下来,发现小张每天要做这么多的工作,不忙才怪! 管理员工&#x…

xqueue:基于C语言实现的循环队列缓冲区

文章目录1. 为什么需要FIFO2. FIFO的存取顺序3. FIFO的代码实现4. 开源地址精选1. 为什么需要FIFO FIFO 是First-In First-Out的缩写,它是一个具有先入先出特点的缓冲区。 可以理解成一个大的水池,水对应数据,注水速度对应数据输入的频率&a…

第13章_泛型(Generic)

第13章_泛型(Generic) 讲师:尚硅谷-宋红康(江湖人称:康师傅) 官网:http://www.atguigu.com 本章专题与脉络 1. 泛型概述 1.1 生活中的例子 举例1:中药店,每个抽屉外面贴着标签 举例2&#xf…

【Pytorch】神经网络的基本骨架

【Pytorch】神经网络的基本骨架nn.module的基本使用卷积操作神经网络卷积层最大池化的使用-池化层nn.module的基本使用 nn.module是所有神经网络的基本类,其他的所有神经网络都是继承该类,在此基础上进行修改。 上面的forward函数,首先进行卷…

postman进行post、get参数传递及中文乱码和各类型参数传递和json格式传参和日期型参数传递和响应数据传回

postman是一种测试工具 用postman直接在其上输入参数名和参数值就行,不用区分post和get请求方法,当然java代码要改变一点,在响应注解的方法里面添加和postman中输入的参数名一样的形参 get请求: 代码:注意在响应注解…

JUC源码系列-AQS独占锁获取

前言 AQS(AbstractQueuedSynchronizer)是JAVA中众多锁以及并发工具的基础,其底层采用乐观锁,大量使用了CAS操作, 并且在冲突时,采用自旋方式重试,以实现轻量级和高效地获取锁。 AQS虽然被定义…

JUC源码系列-AQS的Condition的接口实现

前言 本篇文章是基于线程间的同步与通信(4)——Lock 和 Condtion 这篇文章写的,在那篇文章中,我们分析了Condition接口所定义的方法,本篇我们就来看看AQS对于Condition接口的这些接口方法的具体实现。 概述 我们在前面介绍Conditon的时候说…

es6和commonJs的区别

一、export语句的区别: ES6 和 CommonJS 是两种不同的 JavaScript 模块化规范,它们的 export 语句有一些区别: export 关键字:在 ES6 中,使用 export 关键字来导出模块中的变量、函数、类等;而在 CommonJS…

【C语言】详解数组(数组的创建和初始化、数组越界以及作为函数参数)

简单不先于复杂,而是在复杂之后。 目录 1. 一维数组的创建和初始化 1.1 数组的创建 1.2 数组的初始化 1.3 一维数组的使用 1.4 一维数组在内存中的存储 1.5 sizeof 和 strlen 2. 二维数组的创建和初始化 2.1 二维数组的创建 2.2 二维数组的初始化 …

Java构造器与this关键字

Java构造器与this关键字\huge{Java构造器与this关键字}Java构造器与this关键字 Java类构造器 作用 在类中定义用于初始化一个类的对象,并且返回对象的地址。(可以理解为就是创建一个对象) 调用实例 Car c new Car(); //无参数调用格式 …

离线安装rancher2.4管理K8S集群并部署服务

在一些公司安装K8S集群或者rancher等软件,都是没有网络的,在这种情况下,需要自己想办法安装!这里给大家介绍在没有网络的情况下,怎么安装rancher和K8S集群,最后在用rancher管理K8S集群部署服务!…

UDP的多点通信

文章目录一. 网络属性二. 多点通信**2.1. 单播**2.2. 广播2.2.1 广播的发送端流程 (类似UDP客户端)2.2.2 广播的接收端流程(类似UDP服务器)2.3. 组播2.3.1组播的发送端流程 (类似UDP客户端)2.3.2组播的接收端流程(类似UDP服务器)2.3.3 加入多播组示例代码一. 网络属性 setsock…

对 FLAG_ACTIVITY_NEW_TASK | FLAG_ACTIVITY_CLEAR_TOP 的实践

对 FLAG_ACTIVITY_NEW_TASK | FLAG_ACTIVITY_CLEAR_TOP 的实践 前言 昨天编写了一篇博文: Activity启动模式与栈的使用小结,里面参考了下面这篇文章: Android面试官装逼失败之:Activity的启动模式 对里面关于 FLAG_ACTIVITY_CLEAR_TOP| F…

什么是开源?

从开源空间(Open Source Space)说起开源空间(Open Source Space):一个边界封闭,内部开放的空间。在这个空间里,人们围绕数字公共产品(服务),进行开放式协作。…

零售数据分析之操作篇13:图表联动分析

各位数据的朋友,大家好,我是老周道数据,和你一起,用常人思维数据分析,通过数据讲故事。 上期回顾与作业讲解 上一讲讲了子查询的应用场景,即有一个结果集(ds2)的筛选条件是来自另外…

【C++】继承---上(继承的引入及使用详解、切片赋值和作用域)

前言: 我们在学习C的第一节课就了解到C是一门面向对象的语言,面向对象的语言有三大特性: 封装、继承、多态 此前我们学习了封装,比如模拟实现vector,string或者迭代器等,不仅有利于我们的维护和管理&#…

【uniapp】Vue3版本项目出现Proxy代理对象无法正常取值问题解决

习惯了使用Vue2版本的uniapp项目,直到后来想升级版本,改用Vue3版本就会遇到一些无法正常取值(访问属性)问题,其中最显著问题就是Proxy代理造成的 在我们用浏览器调试的时候,遇到如同下图这样,出…

Spring Boot 项目如何实现上传头像功能?

目录 设计思路 效果展示 ​编辑 分析 前后端交互接口 请求 响应 代码实现和详细注释 数据库设计 自定义资源映射 前后端交互 客户端开发 服务器开发 设计思路 效果展示 分析 实现这个功能只要弄清楚以下几点即可: 怎么将头像数据发送给服务器&#x…