OpenHarmony 目前所有体系详细介绍

news2024/10/24 22:27:52

一、OpenHarmony 操作系统介绍

OpenHarmony 是一个开源的操作系统项目,它由华为技术有限公司贡献给开放原子开源基金会(OpenAtom Foundation)。OpenHarmony 的设计目的是为各种设备提供一个统一的软件平台,支持多种设备之间的无缝互联,从而构建一个开放的、分布式的操作系统生态。

从 2024.10.18 起,HarmonyOS NEXT首个版本正式Release,标志着以API 12为核心的HarmonyOS全套开发套件(含SDK及开发工具DevEco Studio)均达到Release状态并正式发布。

核心特点

分布式架构

  • 分布式软总线:OpenHarmony 使用分布式软总线技术实现设备间的高速、稳定、低延迟连接,使得不同设备可以像单一设备一样协同工作。
  • 分布式数据管理:支持跨设备的数据共享和管理,使得数据可以在不同的设备之间无缝流动。

微内核设计

  • 模块化:OpenHarmony 采用微内核架构,系统可以按需加载必要的服务模块,支持灵活裁剪,适用于不同类型的硬件设备。

多种编程语言支持

  • 开发语言:支持 Java、JavaScript、C/C++ 等多种编程语言,提供了一个统一的多设备开发框架,允许开发者编写一次代码,即可在多个设备上运行。

安全性

  • 安全机制:内置了多种安全机制,包括设备安全、通信安全以及应用安全等,确保设备间的数据交换和应用运行的安全性。

技术架构

OpenHarmony 的技术架构主要包括以下几个层次:

  • 内核层:支持多种内核,如 LiteOS、Linux、鸿蒙内核等。
  • 系统服务层:提供核心服务,如分布式数据管理、分布式任务调度等。
  • 框架层:提供应用框架,包括 UI 框架、分布式软总线框架等。
  • 应用层:包括预装的应用和服务,以及第三方开发者可以开发的应用。

应用场景

OpenHarmony 可以应用于广泛的设备类型,包括但不限于:

  • 智能手机
  • 智能穿戴设备
  • 智能家居设备
  • 车载设备
  • 工业控制设备

社区与生态

OpenHarmony 是一个社区驱动的开源项目,鼓励开发者和组织参与贡献,共同推进 OpenHarmony 的发展。华为和其他合作伙伴已经基于 OpenHarmony 构建了自己的操作系统版本,如华为的 HarmonyOS,它在消费电子领域得到了广泛应用。

OpenHarmony 是一个开源的操作系统项目,它由华为技术有限公司贡献给开放原子开源基金会(OpenAtom Foundation)。OpenHarmony 的设计目的是为各种设备提供一个统一的软件平台,支持多种设备之间的无缝互联,从而构建一个开放的、分布式的操作系统生态。

二、OpenEuler 服务器操作系统介绍

OpenEuler 是一款开源服务器操作系统程序,由华为公司发起并捐赠给开放原子开源基金会。它的目标是为数字基础设施提供一个可靠、高性能的操作系统平台。openEuler 是一个社区驱动的项目,鼓励全球开发者参与贡献和创新。

历史背景

OpenEuler 最初是在2019年华为全联接大会上发布的,当时命名为“欧拉操作系统”(EulerOS),后来转型为完全开源的形式,并更名为 OpenEuler。

核心特点

  • 兼容性:openEuler 支持多种处理器架构,包括 ARM、x86、RISC-V 等,这使得它能够在不同的硬件平台上运行。
  • 高性能:针对云计算、边缘计算、大数据处理等场景进行了优化,以满足现代数据中心的需求。
  • 可靠性:通过严格的测试和验证流程,确保系统在各种环境下都能稳定运行。
  • 安全性:内置了多种安全特性,如 SELinux、AppArmor、KMS (Key Management Service) 等,以增强系统的安全性。
  • 可扩展性:支持模块化的设计,方便用户根据实际需求选择所需组件,同时也便于后续维护和升级。

技术栈

OpenEuler 基于 Linux 内核构建,集成了许多开源技术和组件,如:

  • GCC/Golang/LLVM:用于编译和开发工具。
  • OpenJDK:支持 Java 应用程序的运行。
  • Kubernetes:支持容器管理和编排。
  • Ansible:用于自动化运维。

社区与生态

OpenEuler 拥有一个活跃的社区,来自不同领域的开发者、组织和个人都可以参与到这个项目中来,共同推进 OpenEuler 的发展。此外,OpenEuler 还致力于建立一个健康的生态系统,鼓励基于OpenEuler 开发商业产品和服务。

发行版本

OpenEuler 按照固定的周期发布新的版本,通常每年会发布两次主要版本更新,以保持系统的最新状态,并引入新的特性和改进。

商业化

除了作为开源项目外,OpenEuler 还被用于构建商业化的操作系统发行版。例如,华为推出了基于 OpenEuler 的操作系统 EulerOS 和 EulerOS V2,以及其他厂商如麒麟软件、统信软件等也基于 OpenEuler 开发了自己的操作系统版本。

三、OpenGauss 关系型数据库介绍

OpenGauss 是一个开源的关系型数据库管理系统(RDBMS),由华为公司在2020年贡献给开放原子开源基金会(OpenAtom Foundation)。OpenGauss 的目标是创建一个高性能、高可用、易扩展的数据库系统,适用于企业级应用,特别是那些对数据一致性和事务处理要求较高的场景。

主要特点

  • 高性能:OpenGauss 设计之初就考虑到了性能优化,在事务处理、查询性能等方面进行了大量优化。
  • 高可用性:支持主备复制、读写分离等功能,确保数据库在故障发生时仍能提供连续的服务。
  • 安全性:具备多种安全机制,如身份认证、访问控制、加密传输等,保障数据的安全。
  • 易扩展性:支持水平扩展(横向扩展)和垂直扩展(纵向扩展),适应不同规模的数据存储需求。
  • 兼容性:OpenGauss 具有良好的 SQL 标准兼容性,同时在 API 接口、SQL 语法等方面兼容 PostgreSQL,这使得从其他数据库迁移变得相对容易。

技术亮点

  • 分布式事务处理:OpenGauss 提供了分布式事务的能力,能够处理跨多个节点的数据一致性问题。
  • AI 融合:引入了人工智能技术来辅助数据库管理,比如自动化的性能调优、故障诊断等。
  • 并行查询:利用多核并行处理能力,加速复杂查询的执行速度。
  • 存储与计算分离:支持存储和计算资源的独立扩展,提高资源利用率。

社区与生态

OpenGauss 作为一个开源项目,欢迎全球开发者参与贡献,共同促进数据库技术的发展。该项目拥有活跃的技术社区,定期举行技术交流活动和技术研讨会,鼓励开发者之间的互动和合作。

版本发布

OpenGauss 会定期发布新版本,每个版本都会包含新的功能、性能改进以及修复已知的问题。用户可以通过官方网站下载最新的发行版,并获取相关的文档和支持。

商业化应用

除了作为开源项目外,OpenGauss 还被用于构建商业化的数据库产品。例如,华为基于 OpenGauss 开发了 GaussDB 数据库产品,该产品已经在多个行业得到广泛应用,如金融、电信、政府等领域。

四、昇腾AI介绍

华为昇腾(Ascend)是华为推出的全栈全场景AI解决方案的核心组成部分之一,旨在提供从芯片到计算框架再到应用使能的一站式解决方案。以下是昇腾AI的主要介绍:

升腾AI处理器

  • Ascend 910:这是华为在2019年推出的AI训练处理器,具有强大的计算能力和高效的能耗比,适用于大规模的深度学习模型训练任务。
  • Ascend 310:一款低功耗、高性能的AI推理处理器,适用于边缘计算场景,如智能摄像头、无人机等设备上的实时数据分析。

异构计算架构CANN

CANN(Compute Architecture for Neural Networks)是华为提供的异构计算架构,它包含了从硬件抽象层(HAL)到操作系统(OS)以及开发工具链的支持,使得开发者能够更容易地开发和部署AI应用。

AI框架昇思MindSpore

昇思MindSpore是华为推出的全场景AI框架,它支持端、边、云独立的和协同的训练/推理,具有易用、高效、可移植等特点。MindSpore旨在简化机器学习模型的开发过程,使得开发者可以更加专注于算法本身。

应用使能MindX

MindX是一套全面的应用使能工具包,它提供了一系列的SDK、APIs以及工具,帮助开发者快速构建AI应用。

一站式开发平台ModelArts

ModelArts是华为提供的云端一站式AI开发平台,支持从数据处理、模型训练、模型评估到模型部署的全流程服务,降低了AI应用开发的门槛。

升腾AI一体机

昇腾AI一体机是将昇腾AI处理器与必要的硬件设施集成在一起,提供给用户的开箱即用的解决方案。这些一体机可以迅速部署到研究机构、企业和政府等场景中,提供高性能的AI计算能力。

五、HarmonyOS 云服务

鸿蒙云服务(HarmonyOS Cloud Services)指的是基于华为鸿蒙操作系统(HarmonyOS)及其生态所提供的云服务解决方案。这些服务旨在为开发者提供一系列工具和平台,以帮助他们构建、部署和管理基于 HarmonyOS 的应用和服务。以下是鸿蒙云服务的一些关键组成部分和功能:

主要组成部分

HUAWEI HiLink

HUAWEI HiLink 是华为推出的一个智能家居平台,支持多种智能设备的连接和控制。HiLink 平台为设备提供了统一的接入标准,使得不同品牌的智能家居设备可以相互协作。

HMS Core

HMS Core(华为移动服务核心)是华为提供的一系列开放能力和服务集合,它包括了多个子服务,如推送服务(Push Kit)、分析服务(Analytics Kit)、应用内消息服务(In-App Messaging Kit)等。HMS Core 为开发者提供了丰富的 API 接口,帮助开发者快速集成所需的功能。

AppGallery Connect

AppGallery Connect 是华为为开发者提供的一个一站式的应用管理平台,支持从应用开发、测试、发布到运营的全流程服务。它包括了应用分析、崩溃报告、远程配置等多项服务,帮助开发者更好地管理自己的应用。

DevEco Studio

DevEco Studio 是华为提供的一个集成开发环境(IDE),专门用于 HarmonyOS 应用的开发。它提供了从编码、调试到打包发布的一整套工具链,支持多种编程语言和开发模式。

Device+ Service

Device+ Service 是华为为合作伙伴提供的设备接入服务,帮助制造商将设备接入华为生态,享受华为云服务带来的便利。

功能与优势

跨设备应用开发

鸿蒙云服务支持跨设备的应用开发,使得开发者可以轻松地创建适用于多种设备类型的应用程序,如手机、平板、穿戴设备等。

分布式能力

鸿蒙云服务充分利用 HarmonyOS 的分布式能力,实现设备间的无缝互联,使得应用可以在不同设备之间共享数据和服务。

安全与隐私保护

鸿蒙云服务提供了严格的安全和隐私保护机制,确保用户数据的安全性,同时符合国内外的相关法律法规。

全球覆盖

华为在全球范围内建立了多个数据中心,提供稳定可靠的云服务,支持开发者在全球范围内部署和管理应用。

应用场景

鸿蒙云服务广泛应用于各种场景,包括但不限于智能家居、智能穿戴、车联网、工业互联网等领域。通过鸿蒙云服务,开发者可以构建出更加智能、便捷的应用和服务。

如何使用

开发者可以通过访问华为开发者联盟网站,注册成为开发者,并获取相应的 SDK、API 文档以及开发工具。此外,还可以参加华为举办的各类开发者活动,与其他开发者交流经验,共同推动 HarmonyOS 生态的发展。

六、仓颉语言介绍

仓颉编程语言作为一款面向全场景应用开发的现代编程语言,通过现代语言特性的集成、全方位的编译优化和运行时实现、以及开箱即用的 IDE 工具链支持,为开发者打造友好开发体验和卓越程序性能。其具体特性表现为:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2222755.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

6个RAG进阶优化方案,对应14篇论文案例解析

本文对近几月我了解到的RAG优化策略进行总结, 每个优化策略都有相应的研究论文作为支撑。在01先总结优化方向, 02细化说明相应论文 在介绍RAG优化策略之前, 先说说知识库数据处理: 增强数据粒度:旨在提升文本标准化、一致性、事实准确性和丰富的上下文&#xff0c…

Acrel-1000变电站综合自动化系统及微机在化工企业中的应用方案

文:安科瑞郑桐 摘要:大型化工企业供配电具有的集约型特点,化工企业内35kV变电站和10kV变电所数量大、分布广,对于老的大多大型及中型化工企业而言,其变电站或变电所内高压电气设备为旧式继电保护装置,可靠…

详解Java的类文件结构(.class文件的结构)

this_class 指向常量池中索引为 2 的 CONSTANT_Class_info。super_class 指向常量池中索引为 3 的 CONSTANT_Class_info。由于没有接口,所以 interfaces 的信息为空。 对应 class 文件中的位置如下图所示。 06、字段表 一个类中定义的字段会被存储在字段表&#x…

zotero文献管理学习

1 zotero软件简介 zotero是一款开源的文献管理软件。如果你听说或使用过EndNote,那么可能会对“文献管理”有一定的概念。可以简单地这样理解:zotero一定程度上可以作为EndNote的平替。 EndNote需要注册付费,对于无专业科研机构隶属关系的企…

MATLAB运动目标检测系统

应用背景 运动目标的定位跟踪,检测识别,运动分析在图像压缩、运动分析、交通检测,智能监控等方面有主要的应用。 首先,在图像压缩中,运动目标检测技术可以在背景区域中将前景区域提取分割出来,只传递部分…

植物端粒到端粒(T2T)基因组研究进展与展望

鼠鼠跳槽了,因为现在公司发(bu)展(zhang)受(gong)限(zi),只能跳一次,从大兴到昌平了。从二代ivd行业去三代T2T和泛基因组了。在这里我们分享一篇文章。 摘要:高质量的参考基因组是基因组学研究的基础。目前,大多数的参…

笨蛋学习FreeMarker

笨蛋学习FreeMarker FreeMarker参考网址创建实例引入Maven创建工具类创建实例并进行输出 FreeMarker数据类型布尔型:日期型:数值型:字符型:需要处理字符串为null的情况,否则会报错字符串为空不会报错cap_firstuncap_fi…

【银河麒麟高级服务器操作系统实例】金融行业TCP连接数猛增场景的系统优化

了解更多银河麒麟操作系统全新产品,请点击访问 麒麟软件产品专区:https://product.kylinos.cn 开发者专区:https://developer.kylinos.cn 文档中心:https://documentkylinos.cn 服务器环境以及配置 物理机/虚拟机/云/容器 物理…

12 django管理系统 - 注册与登录 - 登录

为了演示方便&#xff0c;我就直接使用models里的Admin来演示&#xff0c;不再创建用户模型了。 ok&#xff0c;先做基础配置 首先是在base.html中&#xff0c;新增登录和注册的入口 <ul class"nav navbar-nav navbar-right"><li><a href"/ac…

使用 VSCode 通过 Remote-SSH 连接远程服务器详细教程

使用 VSCode 通过 Remote-SSH 连接远程服务器详细教程 在日常开发中&#xff0c;许多开发者需要远程连接服务器进行代码编辑和调试。Visual Studio Code&#xff08;VSCode&#xff09;提供了一个非常强大的扩展——Remote-SSH&#xff0c;它允许我们通过 SSH 协议直接连接远程…

一图读懂“低空经济”

&#x1f482; 个人主页: 同学来啦&#x1f91f; 版权: 本文由【同学来啦】原创、在CSDN首发、需要转载请联系博主 &#x1f4ac; 如果文章对你有帮助&#xff0c;欢迎关注、点赞、收藏和订阅专栏哦 文章目录 ✈️ 一、低空经济简介&#x1f534; 1、基本含义&#x1f7e0; 2、…

【免费领取】基于javaweb实现的的日志管理系统

主营内容&#xff1a;SpringBoot、Vue、SSM、HLMT、Jsp、PHP、Nodejs、Python、爬虫、数据可视化、小程序、安卓app等设计与开发。 收藏点赞不迷路 关注作者有好处 文末获取源码 项目描述 本工作日志管理系统是一个面向中小企业的简单的工作管理系统&#xff0c;它主要实现公…

【Python】Pandas基础操作手册(上)

哈喽&#xff0c;哈喽&#xff0c;大家好~ 我是你们的老朋友&#xff1a;保护小周ღ 今天给大家带来的是【Python】Pandas基础操作手册&#xff08;上&#xff09;本次主要讲解, python pandas 模块的一些基本概念, 以及了解 Dataframe 对象的创建, 赋值, 保存. 一起来看看叭…

【SpringBoot】17 多文件上传(Thymeleaf + MySQL)

Git仓库 https://gitee.com/Lin_DH/system 文件上传 可参考上一篇【SpringBoot】16 文件上传&#xff08;Thymeleaf MySQL&#xff09; https://blog.csdn.net/weixin_44088274/article/details/143004298 介绍 文件上传是指将本地的图片、视频、音频等文件上传到服务器&…

php生成PDF文件(FPDF)

FPDF即“Free PDF”&#xff0c;FPDF类库提供了基本的PDF创建功能&#xff0c;其源代码和使用权是免费的。 PDF格式文档优势 通用&#xff1a;PDF文档在UNIX和Windows系统均可正常使用。 安全&#xff1a;PDF文档可设置为只读模式&#xff0c;并且可以添加密码等保护措施。 美…

【PDF文件】默认被某种软件打开,如何进行修改?

当有时下载某种软件后&#xff0c;电脑中的PDF文件就默认由该种软件打开&#xff0c;每次需要右键选择打开方式才能选择需要的其他软件打开。如下图所示。 修改方法&#xff1a; &#xff08;1&#xff09;点击电脑的“设置”&#xff0c;选择应用 &#xff08;2&#xff09;…

深入浅出理解BLE AUDIO CSIS

CSIS是Coordinate Sets Identification service,翻译过来就是协调集识别服务。什么是协调集&#xff0c;可以理解为具有相同特征的一伙设备&#xff0c;最典型的就是左右两个蓝牙耳机是一个协调集&#xff0c;所以它们具有相同的协调集标志&#xff0c;但是具有相同协调集的设备…

disql 全量备份SQL脚本DM7/DM8

disql 全量备份SQL脚本DM7/DM8 环境介绍1 全量备份前准备工作2 全量备份2.1 cd 到数据库bin 目录,并编辑文件2.2 编写数据库全量备份SQL 脚本2.3 执行编写的sql脚本2.4 编写Linux定时任务 , 每月执行全量备份 3 备份还原4 增量备份配置5 更多达梦数据库学习使用列表 环境介绍 …

CTA-GAN:基于生成对抗网络对颈动脉和主动脉的非增强CT影像进行血管增强

写在前面 目前只分析了文章的大体内容和我个人认为的比较重要的细节&#xff0c;代码实现还没仔细看&#xff0c;后续有时间会补充代码细节部分。 文章地址&#xff1a;Generative Adversarial Network-based Noncontrast CT Angiography for Aorta and Carotid Arteries 代…

【C++】STL容器-string常用接口

1.string类的优势及重要性&#xff08;部分&#xff09; C语言中&#xff0c;字符串是以’\0’结尾的一些字符的集合&#xff0c;为了操作方便&#xff0c;C标准库中提供了一些str系列的库函数&#xff0c;但是这些库函数与字符串是分离开的&#xff0c;不太符合OOP的思想&…