(南京观海微电子)——HKC_5.0_QP050AS01-1_MIPI_LVDS_RGB原理及代码介绍

news2024/10/26 21:24:01

1. MIPI

 

2. LVDS

3. TTL

4.代码

//初始化代码
    Generic_Short_Write_1P(0xee,0x01); // ENTER PAGE1  
    Generic_Short_Write_1P(0xea,0x07);       
    Generic_Short_Write_1P(0xeb,0x12);      
    Generic_Short_Write_1P(0x0a,0x76); // vcom  
    //Generic_Short_Write_1P(0x05,0x12);
    Generic_Short_Write_1P(0x13,0x14); 
    Generic_Short_Write_1P(0x15,0x58); 
    Generic_Short_Write_1P(0x17,0x35);  // vddd
    Generic_Short_Write_1P(0x1d,0x44);  //44 vghpump
    Generic_Short_Write_1P(0x21,0x01);   
    Generic_Short_Write_1P(0x28,0x25); //vgh 15V
    Generic_Short_Write_1P(0x29,0x15); //VGL -10V
    Generic_Short_Write_1P(0x2a,0x63);  // 63
    Generic_Short_Write_1P(0x2f,0xf3);   // pwr en
    //Generic_Short_Write_1P(0x45,0x00); //B5 BGR/RGB B4 镜像
    
    Generic_Short_Write_1P(0xee,0x02); // ENTER PAGE2          
    Generic_Short_Write_1P(0x39,0xb0); //VSPNR 
         
//gamma2.2 2024/09/26 
    Generic_Short_Write_1P(0x00,0x00);//0
    Generic_Short_Write_1P(0x01,0x0d);//4
    Generic_Short_Write_1P(0x02,0x12);//8
    Generic_Short_Write_1P(0x03,0x08);//12 
    Generic_Short_Write_1P(0x04,0x0E);//28  
    Generic_Short_Write_1P(0x05,0x30);//52
    Generic_Short_Write_1P(0x06,0x0B);//76
    Generic_Short_Write_1P(0x07,0x0D);//100
    Generic_Short_Write_1P(0x08,0x0E);//131
    Generic_Short_Write_1P(0x09,0x0e);//155 
    Generic_Short_Write_1P(0x0A,0x12);//179 
    Generic_Short_Write_1P(0x0b,0x4f);//203  
    Generic_Short_Write_1P(0x0c,0x15);//227
    Generic_Short_Write_1P(0x0d,0x1b);//243
    Generic_Short_Write_1P(0x0e,0x30);//247
    Generic_Short_Write_1P(0x0f,0x35);//251 
    Generic_Short_Write_1P(0x10,0x3F);//255

    Generic_Short_Write_1P(0x20,0x00);
    Generic_Short_Write_1P(0x21,0x0d);
    Generic_Short_Write_1P(0x22,0x12);
    Generic_Short_Write_1P(0x23,0x08);  
    Generic_Short_Write_1P(0x24,0x0E);    
    Generic_Short_Write_1P(0x25,0x30);
    Generic_Short_Write_1P(0x26,0x0B);
    Generic_Short_Write_1P(0x27,0x0D);
    Generic_Short_Write_1P(0x28,0x0E);
    Generic_Short_Write_1P(0x29,0x0e); 
    Generic_Short_Write_1P(0x2A,0x12); 
    Generic_Short_Write_1P(0x2b,0x4f);   
    Generic_Short_Write_1P(0x2c,0x15);
    Generic_Short_Write_1P(0x2d,0x1b);
    Generic_Short_Write_1P(0x2e,0x30);
    Generic_Short_Write_1P(0x2f,0x35); 
    Generic_Short_Write_1P(0x30,0x3F);

/*
//gamma2.5 2024/09/26 
    Generic_Short_Write_1P(0x00,0x00);//0
    Generic_Short_Write_1P(0x01,0x08);//4
    Generic_Short_Write_1P(0x02,0x0e);//8
    Generic_Short_Write_1P(0x03,0x04);//12 
    Generic_Short_Write_1P(0x04,0x0a);//28  
    Generic_Short_Write_1P(0x05,0x2b);//52
    Generic_Short_Write_1P(0x06,0x08);//76
    Generic_Short_Write_1P(0x07,0x0b);//100
    Generic_Short_Write_1P(0x08,0x0c);//131
    Generic_Short_Write_1P(0x09,0x0c);//155 
    Generic_Short_Write_1P(0x0A,0x0f);//179 
    Generic_Short_Write_1P(0x0b,0x4c);//203  
    Generic_Short_Write_1P(0x0c,0x12);//227
    Generic_Short_Write_1P(0x0d,0x19);//243
    Generic_Short_Write_1P(0x0e,0x2e);//247
    Generic_Short_Write_1P(0x0f,0x33);//251 
    Generic_Short_Write_1P(0x10,0x3F);//255

    Generic_Short_Write_1P(0x20,0x00);
    Generic_Short_Write_1P(0x21,0x08);
    Generic_Short_Write_1P(0x22,0x0e);
    Generic_Short_Write_1P(0x23,0x04);  
    Generic_Short_Write_1P(0x24,0x0a);    
    Generic_Short_Write_1P(0x25,0x2b);
    Generic_Short_Write_1P(0x26,0x08);
    Generic_Short_Write_1P(0x27,0x0b);
    Generic_Short_Write_1P(0x28,0x0c);
    Generic_Short_Write_1P(0x29,0x0c); 
    Generic_Short_Write_1P(0x2A,0x0f); 
    Generic_Short_Write_1P(0x2b,0x4c);   
    Generic_Short_Write_1P(0x2c,0x12);
    Generic_Short_Write_1P(0x2d,0x19);
    Generic_Short_Write_1P(0x2e,0x2e);
    Generic_Short_Write_1P(0x2f,0x33); 
    Generic_Short_Write_1P(0x30,0x3F);
*/

    Generic_Short_Write_1P(0xee,0x03);
    //Generic_Short_Write_1P(0x0b,0x55);
    Generic_Short_Write_1P(0x0f,0xb9);//上电擦黑

    Generic_Short_Write_1P(0xee,0x04); // ENTER PAGE4
    Generic_Short_Write_1P(0x00,0x05); // 05=512  SOURCE chane
    Generic_Short_Write_1P(0x01,0x01); //  GAT
    Generic_Short_Write_1P(0x02,0x2C); //  GAT
    Generic_Short_Write_1P(0x03,0x04); // SOURCE H
    Generic_Short_Write_1P(0x04,0x00); // SOURCE H
    Generic_Short_Write_1P(0x06,0x06); 
    Generic_Short_Write_1P(0x07,0x0A); // sstp 
    Generic_Short_Write_1P(0x08,0x20);         
    Generic_Short_Write_1P(0x09,0x20);  // pol  
    Generic_Short_Write_1P(0x0a,0x0C); //smart GIP
    Generic_Short_Write_1P(0x0b,0x00);   
    Generic_Short_Write_1P(0x0f,0x0a); 
    Generic_Short_Write_1P(0x19,0xcc); 
    Generic_Short_Write_1P(0x1a,0xcc); 
    Generic_Short_Write_1P(0x20,0x00);//非连续CLK只能跑40
    Generic_Short_Write_1P(0x24,0x08);
    Generic_Short_Write_1P(0x25,0x02); 
    Generic_Short_Write_1P(0x29,0x00); 
    Generic_Short_Write_1P(0x30,0x1d); 
    Generic_Short_Write_1P(0x31,0x1d); 
    Generic_Short_Write_1P(0x37,0x22); 
    Generic_Short_Write_1P(0x40,0x80); //80 bist=00
    Generic_Short_Write_1P(0x41,0x55);

    Generic_Short_Write_1P(0xee,0x05); // ENTER PAGE5
//STVA STAB
    Generic_Short_Write_1P(0x00,0x01); //Stva
    Generic_Short_Write_1P(0x01,0x09);
    Generic_Short_Write_1P(0x02,0x25); //微调
    Generic_Short_Write_1P(0x03,0x05);

    Generic_Short_Write_1P(0x07,0xBB); //Stvb //EB
    Generic_Short_Write_1P(0x08,0xBF);       //EF
    Generic_Short_Write_1P(0x09,0x44); //44

    Generic_Short_Write_1P(0x0a,0xC1); //Stvc
    Generic_Short_Write_1P(0x0b,0xC5); 
    Generic_Short_Write_1P(0x0c,0x44); 
    //Generic_Short_Write_1P(0x0d,0x44); 

//CLK
    Generic_Short_Write_1P(0x10,0x05); //CLKA
    Generic_Short_Write_1P(0x11,0x09); 
    Generic_Short_Write_1P(0x12,0x55);
    Generic_Short_Write_1P(0x13,0x05); 
    Generic_Short_Write_1P(0x19,0xBB);  
    Generic_Short_Write_1P(0x1a,0x74); 

    Generic_Short_Write_1P(0x30,0x01); 
    Generic_Short_Write_1P(0x31,0x01); 
    Generic_Short_Write_1P(0x32,0x00); 
    Generic_Short_Write_1P(0x33,0x14); 
    Generic_Short_Write_1P(0x34,0x14); 
    Generic_Short_Write_1P(0x35,0x78);  
    Generic_Short_Write_1P(0x36,0x01); 
    Generic_Short_Write_1P(0x37,0x01); 
    Generic_Short_Write_1P(0x38,0x00); 
    Generic_Short_Write_1P(0x39,0x14); 
    Generic_Short_Write_1P(0x3A,0x14); 

    Generic_Short_Write_1P(0x40,0x55);//
    Generic_Short_Write_1P(0x41,0x44); 
    Generic_Short_Write_1P(0x43,0x13);
    Generic_Short_Write_1P(0x44,0x01); 
    Generic_Short_Write_1P(0x45,0x81); 
    Generic_Short_Write_1P(0x46,0x06); 
    Generic_Short_Write_1P(0x47,0x00); 

    Generic_Short_Write_1P(0xee,0x06); //PAGE6  GIP back 
    Generic_Short_Write_1P(0x00,0x45);  
    Generic_Short_Write_1P(0x02,0x01);
      //Generic_Short_Write_1P(0x04,0x89);
    Generic_Short_Write_1P(0x06,0xcd);
    Generic_Short_Write_1P(0x08,0x67);  
    Generic_Short_Write_1P(0x09,0x45);  
    Generic_Short_Write_1P(0x0a,0x23); 
    Generic_Short_Write_1P(0x0b,0x01); 
 
    Generic_Short_Write_1P(0xee,0x07); //PAGE7  
//GIP LEFT 1-24    
    Generic_Short_Write_1P(0x00,0x17);
    Generic_Short_Write_1P(0x01,0x15); 
    Generic_Short_Write_1P(0x02,0x0D); 
    Generic_Short_Write_1P(0x03,0x13); 
    Generic_Short_Write_1P(0x04,0x05);  
    Generic_Short_Write_1P(0x05,0x0C); 
    Generic_Short_Write_1P(0x06,0x11);
    Generic_Short_Write_1P(0x07,0x3c);

    Generic_Short_Write_1P(0x08,0x08);
    Generic_Short_Write_1P(0x09,0x21);
    Generic_Short_Write_1P(0x0A,0x20);
    Generic_Short_Write_1P(0x0b,0x01);
    Generic_Short_Write_1P(0x0c,0x3c);
    Generic_Short_Write_1P(0x0d,0x3c);
    Generic_Short_Write_1P(0x0e,0x3c);
    Generic_Short_Write_1P(0x0f,0x3c);


//GIP RIGHT 1-24
    Generic_Short_Write_1P(0x20,0x16);
    Generic_Short_Write_1P(0x21,0x14); 
    Generic_Short_Write_1P(0x22,0x0D); 
    Generic_Short_Write_1P(0x23,0x12); 
    Generic_Short_Write_1P(0x24,0x04);  
    Generic_Short_Write_1P(0x25,0x0C);
    Generic_Short_Write_1P(0x26,0x10);
    Generic_Short_Write_1P(0x27,0x3c); 

    Generic_Short_Write_1P(0x28,0x08);
    Generic_Short_Write_1P(0x29,0x21);
    Generic_Short_Write_1P(0x2A,0x20);
    Generic_Short_Write_1P(0x2b,0x00);
    Generic_Short_Write_1P(0x2c,0x3c);
    Generic_Short_Write_1P(0x2d,0x3c);
    Generic_Short_Write_1P(0x2e,0x3c);
    Generic_Short_Write_1P(0x2f,0x3c);

    Generic_Short_Write_1P(0xee,0x08); //PAGE8
    Generic_Short_Write_1P(0x10,0x00);
    Generic_Short_Write_1P(0x12,0xda); //VDDH
    Generic_Short_Write_1P(0x13,0x1c); // 
    Generic_Short_Write_1P(0x14,0x10); //
    //Generic_Short_Write_1P(0x18,0x30);
    //Generic_Short_Write_1P(0x20,0x80);

    Generic_Short_Write_1P(0xee,0x0f); //PAGEf
    Generic_Short_Write_1P(0x00,0x01); // dualgate en
    Generic_Short_Write_1P(0x01,0x10);
   
    Generic_Short_Write_1P(0xee,0x00); // ENTER PAGE0
    Generic_Short_Write_1P(0xea,0x00);     
    Generic_Short_Write_1P(0xeb,0x00);  
    Generic_Short_Write_1P(0x36,0x00);  

DCS_Short_Write_NP(0x11);       // sleep out 
Delay (600);                   //  delay 120 ms 
DCS_Short_Write_NP(0x29);      // display on 
 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2210305.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

兆易创新Cortex-M7 GD32H459适配OpenHarmony轻量系统适配教程

笔者利用国庆假期的时间适配了一款Cortex-M7 的国产厂商兆易创新GD32H459,开源地址:https://gitee.com/GD32H759_OpenHarmony OpenHarmony 4.1r 轻量系统移植到GD32H759文档 1.学习本文档的意义 1.学习如何移植OpenHarmony轻量系统4.1r到GD32 m7内核G…

[论文期刊|稳定检索]2024年信号处理与光学工程国际会议(SPOE 2024 )

2024年信号处理与光学工程国际会议 2024 International Conference on Signal Processing and Optical Engineering 【1】大会信息 会议名称:2024年信号处理与光学工程国际会议 会议简称:SPOE 2024 大会时间:请查看官网 大会地点&#xf…

【JS试题】对象键排序问题的神仙试题

前言 题目如下: const obj { a: 0 } obj[1] 0 obj[obj.a] obj.a const values Object.values(obj) obj[values[1]] obj.a console.log(obj);在此之前需要先了解 JS 对象键的排序问题,JS会对对象的属性进行处理,把所有 Number类型 和 数…

AWS S3迁移到阿里云OSS实践

本教程讲解如何将AWS S3中的数据迁移到阿里云对象存储OSS。 如果您需要将AWS S3中的数据通过专线迁移到阿里云对象存储OSS,请参见使用代理迁移。 概述 阿里云在线迁移服务是阿里云提供的存储产品数据通道。使用在线迁移服务,您可以将第三方数据轻松迁…

GaussDB主备版 8 工具学习

1 客户端工具 1.1 gsql 1.1.1 类似plsql 1.1.2 默认只支持从服务器本机连接,如果需要连接到远端的数据库,必须在服务端进行配置 1.1.3 gsql --help 1.1.4 命令参考-https://doc.hcs.huawei.com/db/zh-cn/gaussdb/24.1.30/tg/gaussdb-38-0007.html 1.1.…

Golang | Leetcode Golang题解之第474题一和零

题目: 题解: func findMaxForm(strs []string, m, n int) int {dp : make([][]int, m1)for i : range dp {dp[i] make([]int, n1)}for _, s : range strs {zeros : strings.Count(s, "0")ones : len(s) - zerosfor j : m; j > zeros; j--…

【网络基础知识】网络通信概述与TCPIP、UDP协议

网络基础知识 介绍网络基础知识,譬如网络通信概述、OSI 七层模型、IP 地址、TCP/IP 协议族、TCP 和 UDP 协议等等, 旨在以引导入门、了解为主,其中并不会深入、详细地介绍这些内容; Linux网络编程入门移步:【Linux网络…

使用CSS和HTML实现3D图片环绕效果

使用CSS和HTML实现3D图片环绕效果 在本篇博客中,将介绍如何使用HTML和CSS实现一个3D图片环绕效果。这个效果不仅具有视觉吸引力,而且具有高度的互动性,鼠标悬停时动画会暂停。接下来将一步步讲解这个效果的实现过程。 1. 效果 2. 页面结构与…

Python人脸识别技术进阶篇

在上一篇文章中,我们介绍了如何使用Python进行基本的人脸识别。本文将深入探讨人脸识别技术的高级应用,包括如何优化识别性能、处理复杂环境下的识别挑战以及如何利用深度学习模型来提高识别准确性等话题。 人脸识别的原理回顾 人脸识别流程 人脸识别…

使用 llamafile 以单个文件运行本地大模型

在开发 GenAI 应用时,有一个在本地运行的大模型是非常重要的,可以很方便地进行开发和测试。目前有几个流行的在本地运行大模型的选项,包括 Ollama 和 LM Studio。 这里介绍的是 llamafile。llamafile 是 Mozilla 支持的开源项目。llamafile …

OceanBase + DolphinScheduler,搭建分布式大数据调度平台的实践

本文整理自白鲸开源联合创始人,Apache DolphinScheduler PMC Chair,Apache Foundation Member 代立冬的演讲。主要介绍了DolphinScheduler及其架构、DolphinScheduler与OceanBase 的联合大数据方案。 DolphinScheduler是什么? Apache Dolphi…

第十六章 RabbitMQ延迟消息之延迟插件优化

目录 一、引言 二、优化方案 三、核心代码实现 3.1. 生产者代码 3.2. 消息处理器 3.3. 自定义多延迟消息封装类 3.4. 订单实体类 3.5. 消费者代码 四、运行效果 一、引言 上一章节我们提到,直接使用延迟插件,创建一个延迟指定时间的消息&…

一款零依赖、跨平台的流媒体协议处理工具,支持 RTSP、WebRTC、RTMP 等视频流协议的处理

大家好,今天给大家分享一款功能强大的流媒体协议处理工具go2rtc,支持多种协议和操作系统,具有零依赖、零配置、低延迟等特点。 项目介绍 go2rtc可以从各种来源获取流,包括 RTSP、WebRTC、HomeKit、FFmpeg、RTMP 等,并…

第一个servlet程序

文章目录 在原有工程上建立模块前端配置前后端映射关系添加外部依赖库后端代码启动配置 在原有工程上建立模块 添加web框架 前端 应用结构 <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8"><title>第一…

【云原生技术】Docker容器进阶知识

文章目录 namespace概述一、namespace的基本概念二、namespace的主要作用三、namespace的类型四、namespace的操作五、namespace在容器技术中的应用 cgroup一、cgroup的基本概念二、cgroup的主要功能三、cgroup的子系统介绍四、cgroup的应用场景五、cgroup的使用与管理 cgroup和…

uniapp-小程序开发0-1笔记大全

uniapp官网&#xff1a; https://uniapp.dcloud.net.cn/tutorial/syntax-js.html uniapp插件市场&#xff1a; https://ext.dcloud.net.cn/ uviewui类库&#xff1a; https://www.uviewui.com/ 柱状、扇形、仪表盘库&#xff1a; https://www.ucharts.cn/v2/#/ CSS样式&…

余 弦 曲 线

1&#xff0e;问题描述 在屏幕上画出余弦函数cos&#xff08;x&#xff09;曲线&#xff0c;如图1.6所示。 图1.6 余弦函数cos&#xff08;x&#xff09;曲线 2&#xff0e;问题分析 连续的曲线是由点组成的,点与点之间距离比较近&#xff0c;看上去就是曲线了&#xff0c;…

uni-app 如何全局设置,获取app.vue里面的值

在globalData里设置一个值 通过下面方法修改 this.$options.globalData.$versonStatus status 在页面中通过getApp()获取 getApp().globalData.$versonStatus

<<迷雾>> 第11章 全自动加法计算机(3)--存储器示例 示例电路

info::操作说明 将一组比特单元扩展为多组的结果, 操作原理类似 注: 一次只能操作一组, 此处需进一步引入地址译码器才能具体进行操作, 见后面的例子, 此处仅展示结构原理 primary::在线交互操作链接 https://cc.xiaogd.net/?startCircuitLinkhttps://book.xiaogd.net/cyjsjdm…

C++模板初阶速成

温馨提示&#xff1a;本篇文章依旧是c速成系列的文章&#xff0c;但和以往有所不同的是&#xff0c;本篇文章带大家简单了解并能够学会使用模板 泛型编程 概念&#xff1a;编写与类型无关的通用代码&#xff0c;是代码复用的一种手段。 什么是复用&#xff1f; 简单来说就是…