英伟达NVIDIA数字IC后端笔试真题(ASIC Physical Design Engineer)

news2024/9/24 5:19:48

今天小编给大家分享下英伟达NVIDIA近两年数字IC后端笔试真题(ASIC Physical Design)

  1. 请使用OR门和INV反相器来搭建下面所示F逻辑表达式的电路图。

在这里插入图片描述

在这里插入图片描述
数字IC后端设计如何从零基础快速入门?(内附数字IC后端学习视频)

2024届IC秋招兆易创新数字IC后端笔试面试题

2024届IC秋招兆易创新数字IC后端笔试面试题

  1. 异步复位&同步复位
  1. What is synchronous reset and asynchronous reset?
  2. Please list at least 1 disadvantage each for synchronous and asynchronous reset respectively. How to resolve these issues? How to solve these issues?

Synchronous reset means reset is sampled with respect to clock. In other words, when reset is enabled, it will not be effective until the next active clock edge.
In asynchronous reset, reset is sampled independent of clk. That means, when reset is enabled it will be effective immediately and will not check or wait for the active clock edges.
2) Disadvantages of synchronous reset

Reset signal needs to be stretched, if it is not long enough to be seen at the active clock edge.
Requires a clock to be present if reset is to occur
If there are internal tri-state buffers, separate asynchronous reset may still be required
If there are gated clocks for power saving, this type of reset is not suitable.
Synthesis will not be able to easily differentiate reset from other signals. So this has to be taken care while doing synthesis. Otherwise it may lead to timing issues.
3) Disadvantages of asynchronous reset

Reset line is sensitive to glitches.
There may be metastability issues in the circuits.
4) Solution

Asynchronous reset and synchronous release(异步复位同步释放).

  1. 数字后端名词解释

Please briefly describe below terminologies in digital circuit design:combination logic, gray code, metastability, lockup latch,setup, static power, logic synthesis, time borrow, power gating, process variation

  1. combinational logic: A type of digital logic implemented by Boolean circuit, where the output is a pure function of the present input only. Combinational logic does not require clock to realize the function.

  2. gray code: Gray code is an ordering of the binary numeral system such that two successive values differ in only one bit.

  3. metastability: States where the signals can settle to an intermediate value between logic 0 and logic 1, this is called metastable state.

  4. lockup latch: A lockup latch is a transparent latch used to avoid large clock skew and mitigate the problem in closing hold timing due to large uncommon clock path. Lockup latch is an important element in scan-based designs, especially for hold timing closure of shift modes. Lockup latches are necessary to avoid skew problems during shift phase of scan-based testing.

吾爱IC:原来负沿Latch可以用来修hold(Timing borrowing及其应用)
21 赞同 · 3 评论文章
在这里插入图片描述

  1. setup: The setup time is the time during which a data signal must remain stable before the active clock edge.

  2. static power: Power consumption caused by leakage currents including sub-threshold leakage, gate leakage, gate induced drain leakage, reverse bias junction leakage.

  3. logic synthesis: The process of converting a functional behavioral model of a system represented as RTL model to structural (logical gate netlist) description model is called logic synthesis. It is a process of creating logic circuit from circuit description.

  4. time borrow: Time borrow, also called cycle stealing, occurs at a latch. Since a latch is transparent when the clock is active, the data can arrive later than the active clock edge, that is, it can borrow time from the next cycle.
    在这里插入图片描述

  5. power gating: Shut down the power supply to a block of logic when it is not active.

在这里插入图片描述

  1. process variation: Operating conditions like process, temperature, and voltage define the process variations, which affect the functionality and performance of the SOC design.

在这里插入图片描述

11)Please briefly introduce what is physical synthesis. What are the benefits compared with logical only synthesis? (考查逻辑综合和物理综合基本概念和各自的优缺点)

4.利用脚本处理文件
There is a file named “scores.txt” which has below format: name score. Please read this file and print out the passing list (score >= 60) & the failing list (score < 60), both list ranking by scores from highest to lowest. You can use Perl/Tcl/Python/C to implement the code.
File scores.txt:

Joe 90

Tom 58

Jim 70

James 95

Alan 82

John 89

Mike 85

Sandy 55

Nancy 88

Sherry 72

Alice 80

Tony 60

脚本处理题记得借助chatGPT来完成。

open IN,'<','scores.txt';
my %passing_list,%failing_list;
while(<IN>){
    if(/(\w+)\s+(\d+)/){
       $name = $1;
       $score = $2;
       if($score>=60){
           $passing_list{$name} = $score;
       }
       if($score<60){
           $failing_list{$name} = $score;
       }
    }
}
print "Passing list:\n";
foreach $key (sort {$passing_list{$b} <=> $passing_list{$a}} keys %passing_list){
    print "\t$key\t$passing_list{$key}\n";
};
print "\n";
print "Failing list:\n";
foreach $key (sort {$failing_list{$b} <=> $failing_list{$a}} keys %failing_list){
    print "\t$key\t$failing_list{$key}\n";
};   
close IN;

英伟达Nvidia几乎每年都会考到利用tcl等脚本语言来处理文本文件。

在这里插入图片描述

5.crosstalk知识点考查

Below figure shows a circuit that include cross-coupled capacitances. Please answer the following questions: 1) The waveforms on A, B and clk are shown as below. Considering the impact of crosstalk, please draw the waveform on pin D. And analyze the timing impact on pin D under below condition. 2) Please list at least 3 methods to reduce the impact of crosstalk and analyze its disadvantage.

在这里插入图片描述

1)Considering the impact of crosstalk, the transition time of signal on D pin will be reduced compared with waveform on B. This is because aggressor switching (net a) in same direction can result in a smaller delay for the switching net b.

2)if required, shielding wires between the nets, with Vdd and Vss nets to be run between two parallel long nets.(Disadvantages: shielding consumes more power, increases routing area and add interconnect complexity.)

not to run two long parallel interconnects on the same layer(Disadvantages: add interconnect complexity.)
increase spacing of parallel interconnect nets ( Disadvantages: need more routing resources and may increase die area.)
increase drive of victim net and decease drive of aggressor net.
use different routing layers (Disadvantages: need more routing resources.)

6.时序计算题

在这里插入图片描述

时序计算题也是英伟达NV每年必考的题目。大家一定要都能做对。

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

According to below timing report (the unit is ns), please answer following questions:

1)What check is this report for? Please point out the clues in the timing report.

2)What’s the max frequency this path can achieve?

3)What’s the main reason for this violation? List at least 3 ways that may fix this violation.

7.画一个两输入或门的版图

在这里插入图片描述

8.低功耗设计实现技术Clock Gating

在这里插入图片描述
秒杀数字后端实现中clock gating使能端setup violation问题

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2159439.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Vue3学习---【API】【从零开始的Vue3学习!!!】

目录 应用实例API app.mount() unmount() 常规API version nextTick() 状态选项API data() 注意&#xff1a; methods() 生命周期选项 beforeCreate()和Created() beforeCreate() created() beforeCreate()和created()的区别 beforeMount()和mounted() beforeM…

统信服务器操作系统【targetcli部署】

targetcli部署方案 文章目录 功能概述功能介绍1.安装targetcli2.targetcli语法及参数说明3.示例1. 配置2.访问功能概述 SCSI 即小型计算机系统接口(Small Computer System Interface;简写:SCSI) iSCSI,internet SCSI 网络磁盘 ,提供一对一的网络存储, 主机A 提供xx存储设…

数据包签名校验的Web安全测试实践

01 测试场景 在金融类的Web安全测试中&#xff0c;经常可以见到Web请求和响应数据包加密和签名保护&#xff0c;由于参数不可见&#xff0c;不能重放请求包&#xff0c;这类应用通常不能直接进行有效的安全测试&#xff0c;爬虫也爬不到数据。 02 解决思路 对于这类应用&am…

内存和管理

在 C 中&#xff0c;对象拷贝时编译器可能会进行一些优化&#xff0c;以提高程序的性能。 一种常见的优化是“返回值优化&#xff08;Return Value Optimization&#xff0c;RVO&#xff09;”和“具名返回值优化&#xff08;Named Return Value Optimization&#xff0c;NRV…

记某学校小程序漏洞挖掘

前言&#xff1a; 遇到一个学校小程序的站点&#xff0c;只在前端登录口做了校验&#xff0c;后端没有任何校验&#xff0c;奇葩弱口令离谱进去&#xff0c;站点里面越权泄露敏感信息&#xff0c;接管账号等漏洞&#xff01;&#xff01;&#xff01; 渗透思路 1.绕过前端 …

【学习笔记】TLS/SSL握手之Records

TLS / SSL会话是由记录&#xff08;Records&#xff09;所组成&#xff0c;有4种records HandshakeAlertChange Cipher SpecApplication DataHandshake和Alert Records被分为子类型&#xff08;Subtypes&#xff09;&#xff1a; Handshake&#xff1a;Client HelloHandshake&a…

新手教学系列——Nginx静态文件访问优化,提升加载速度与用户体验

在构建现代Web应用时,静态文件的优化往往被初学者所忽略。静态文件,包括CSS样式、JavaScript脚本和图片等,是构建用户界面的关键元素。然而,随着应用规模的扩大,静态文件的数量和大小也随之增加,页面加载速度因此可能受到严重影响,进而影响用户体验。为了应对这种情况,…

01——springboot2基础知识

一、springboot的快速入门 springboot的作用&#xff1a;用来简化Spring应用的初始搭建以及开发过程 一、idea创建springboot工程——运行的步骤 选择Spring Initializr进行创建&#xff08;现在基本上没有jdk1.8选了&#xff0c;都是jdk17了&#xff0c;需要的话&#xff0c…

使用四叉树碰撞的游戏 显微镜RPG

实现四叉树碰撞检测 //author bilibili 民用级脑的研发记录 // 开发环境 小熊猫c 2.25.1 raylib 版本 4.5 // 2024-7-14 // AABB 碰撞检测 在拖拽&#xff0c;绘制&#xff0c;放大缩小中 // 2024-7-20 // 直线改每帧打印一个点&#xff0c;生长的直线&#xff0c;直线炮弹 /…

Matplotlib-数据可视化详解

1. 数据可视化简介 可视化介绍 数据可视化是指直观展现数据&#xff0c;它是数据处理过程的一部分。 把数值绘制出来更方便比较。借助数据可视化&#xff0c;能更直观地理解数据&#xff0c;这是直接查看数据表做不到的 数据可视化有助于揭示数据中隐藏的模式&#xff0c;数据…

HDFS分布式文件系统01-HDFS架构与SHELL操作

HDFS分布式文件系统 学习目标第一课时知识点1-文件系统的分类单机文件系统网络文件系统分布式文件系统 知识点2-HDFS架构知识点3-HDFS的特点知识点4-HDFS的文件读写流程知识点5-HDFS的健壮性 第二课时知识点1-HDFS的Shell介绍HDFS Shell的语法格式如下。HDFS Shell客户端命令中…

三篇文章速通JavaSE到SpringBoot框架 上 JavaSE基础语法

文章目录 前置环境变量基本数据类型引用数据类型标识符运算符 流程控制三种基本流程结构 方法方法声明格式方法的调用方式方法的重载方法的重写重载和重写的区别 数组数组的特点 面向对象基本概念类的编写和对象的创建与使用类的编写对象的创建和使用 构造器构造器特点 封装以属…

55 循环神经网络RNN的实现_by《李沐:动手学深度学习v2》pytorch版

系列文章目录 文章目录 系列文章目录循环神经网络的从零开始实现[**独热编码**]初始化模型参数循环神经网络模型预测[**梯度裁剪**]训练小结练习 循环神经网络的从零开始实现 import math import torch from torch import nn from torch.nn import functional as F from d2l i…

玄机靶场--蚁剑流量

木马的连接密码是多少 黑客执行的第一个命令是什么 id 黑客读取了哪个文件的内容&#xff0c;提交文件绝对路径 /etc/passwd 黑客上传了什么文件到服务器&#xff0c;提交文件名 黑客上传的文件内容是什么 黑客下载了哪个文件&#xff0c;提交文件绝对路径 蚁剑流量特征总结 …

proteus仿真(2)

一&#xff0c;配置编译器 可以在proteus中写stm32的代码&#xff0c;需要先检查是否配置了keil的编译器 选择调试&#xff0c;编译器配置 stm32为ARM版本 51为8051版本 如果已经配置了keil—arm&#xff0c;但是打开没有&#xff0c;可以选择检查当前&#xff0c;刷新一下。 …

【有啥问啥】多臂老虎机(Multi-Armed Bandit,MAB)算法详解

多臂老虎机&#xff08;Multi-Armed Bandit&#xff0c;MAB&#xff09;算法详解 1. 引言 多臂老虎机&#xff08;Multi-Armed Bandit&#xff0c;MAB&#xff09;问题源自概率论和决策论&#xff0c;是一个经典的决策优化问题。最早提出的形式是赌场中的老虎机问题&#xff…

若依vue3.0表格的增删改查文件封装

一、因若依生成的文件没进行封装&#xff0c;维护起来比较麻烦。所以自己简单的进行封装了一下 gitee代码&#xff08;文件&#xff09;地址&#xff1a;https://gitee.com/liu_yu_ting09/ruo_yi.git 二、封装的方法&#xff08;下面绿色按钮进行全局封装一个JeecgListMixin.js…

【解密 Kotlin 扩展函数】扩展函数的底层原理(十八)

导读大纲 1.1.1 从 Java 调用扩展函数1.1.2 扩展函数无法重载 1.1.1 从 Java 调用扩展函数 在编译器底层下,扩展函数是一种静态方法,它接受接收器对象作为第一个参数 调用它不涉及创建适配器对象或任何其他运行时开销这使得从 Java 使用扩展函数变得非常简单 调用静态方法并传…

《深度学习》卷积神经网络CNN 实现手写数字识别

目录 一、卷积神经网络CNN 1、什么是CNN 2、核心 3、构造 二、案例实现 1、下载训练集、测试集 代码实现如下&#xff1a; 2、展示部分图片 运行结果&#xff1a; 3、图片打包 运行结果&#xff1a; 4、判断当前使用的CPU还是GPU 5、定义卷积神经网络 运行结果&a…

吴恩达深度学习笔记:卷积神经网络(Foundations of Convolutional Neural Networks)2.3-2.4

目录 第四门课 卷积神经网络&#xff08;Convolutional Neural Networks&#xff09;第二周 深度卷积网络&#xff1a;实例探究&#xff08;Deep convolutional models: case studies&#xff09;2.3 残差网络(ResNets)(Residual Networks (ResNets))2.4 残差网络为什么有用&am…