【Verilog-CBB】开发与验证(5)——RS后向打拍器

news2024/9/20 7:51:20



引言

接上篇,完成了RS前向打拍器的CBB设计。RS后向打拍器的设计则主要是为了缓解ready信号的时序问题。后向打拍器是对ready以及数据信号进行寄存。

RS后向打拍器的设计逻辑是这样的,复位时,ready缓存器(深度为1)对上游拉高ready,如果上游发来valid以及对应的数据,那么valid信号以及数据信号直接传给下游,data不需要缓存。如果后续的传输下游ready信号一直不反压上游,那么数据和有效信号不需要缓存直接传给下游。如果下游ready信号反压上游,阻塞传输,那么数据信号缓存一拍送至输出端口,等待握手,如果下游继续反压,则RS前向打拍器则会继续反压上游。其实可以理解为深度为1的握手缓存器。

CBB设计源码

// ==================-------------------------------------------------------=====================
//                                        在路上-正出发
//                                    Common Building Block
// ==================-------------------------------------------------------=====================

//                 ________          ________          ________                                                               
//                |\   ____\        |\   __  \        |\   __  \                                                              
//                \ \  \___|        \ \  \|\ /_       \ \  \|\ /_                                                             
//                 \ \  \            \ \   __  \       \ \   __  \                                                            
//                  \ \  \____        \ \  \|\  \       \ \  \|\  \                                                           
//                   \ \_______\       \ \_______\       \ \_______\                                                          
//                    \|_______|        \|_______|        \|_______|  

// ==================-------------------------------------------------------=====================
//                                        在路上-正出发
//                                    Common Building Block
// ==================-------------------------------------------------------=====================                                                                                                              
                                                                                                                                                                                                                                                                                                         
// CBB Module Name    :CBB_RS_BACKWARD
// CBB Created Date   :2024-08-16
// CBB Module Function:Register Slice mode:backward
// Usage Limitation   :
// Author             :在路上-正出发
// -----------------------------------------------------------------------------------------------
// -----------------------------------------------------------------------------------------------

`timescale 1ns/1ps

module  CBB_RS_BACKWARD#(
// ---- parameter define
parameter P_DATA_WIDTH 		= 64
)(
// ---- port define 
input 						i_clk,
input 						i_rstn,

input 						slv_i_valid,
input [P_DATA_WIDTH-1:0] 	slv_i_data,
output 						slv_o_ready,

output 						mst_o_valid,
output [P_DATA_WIDTH-1:0] 	mst_o_data,
input 						mst_i_ready

);

reg 						r_mst_i_ready;
always @(posedge i_clk or negedge i_rstn) begin : proc_ready
	if(~i_rstn) begin
		 r_mst_i_ready <= 1'b1;
	end else if (mst_o_valid)begin
		 r_mst_i_ready <= mst_i_ready;
	end
end

reg 	[P_DATA_WIDTH-1:0] 	r_mst_o_data;
always @(posedge i_clk) begin : proc_data
	if (slv_i_valid & slv_o_ready)begin
		 r_mst_o_data <= slv_i_data;
	end
end
assign mst_o_data = slv_o_ready ? slv_i_data  : r_mst_o_data;
assign slv_o_ready= r_mst_i_ready;
assign mst_o_valid= slv_i_valid | (~slv_o_ready);

endmodule




CBB验证

从侧 valid信号拉高的时间间隔随机,且拉高的时机与ready无关,主侧ready信号拉高的持续时间随机,相邻两个高电平之间的间隔随机。包括各种反压case。

验证源码

// ==================-------------------------------------------------------=====================
//                                        在路上-正出发
//                                    Common Building Block
// ==================-------------------------------------------------------=====================

//                 ________          ________          ________                                                               
//                |\   ____\        |\   __  \        |\   __  \                                                              
//                \ \  \___|        \ \  \|\ /_       \ \  \|\ /_                                                             
//                 \ \  \            \ \   __  \       \ \   __  \                                                            
//                  \ \  \____        \ \  \|\  \       \ \  \|\  \                                                           
//                   \ \_______\       \ \_______\       \ \_______\                                                          
//                    \|_______|        \|_______|        \|_______|  

// ==================-------------------------------------------------------=====================
//                                        在路上-正出发
//                                    Common Building Block
// ==================-------------------------------------------------------=====================                                                                                                              
                                                                                                                                                                                                                                                                                                         
// CBB Module Name    :TB_RS_BACKWARD
// CBB Created Date   :2024-08-17
// CBB Module Function:
// Usage Limitation   :
// Author             :在路上-正出发
// -----------------------------------------------------------------------------------------------
// -----------------------------------------------------------------------------------------------

`timescale 1ns/1ps

module  TB_RS_BACKWARD();
// ---- parameter define
parameter P_DATA_WIDTH 		= 32;

// ---- port define 
reg 						i_clk;
reg 						i_rstn;

reg 						slv_i_valid;
reg [P_DATA_WIDTH-1:0] 		slv_i_data;
wire 						slv_o_ready;

wire 						mst_o_valid;
wire [P_DATA_WIDTH-1:0] 	mst_o_data;
reg 						mst_i_ready;

initial i_clk = 1'b0;
always #5 i_clk = ~i_clk;

integer time_dly1,time_dly2;

integer sim_ctrl;

initial
begin
	i_rstn = 1'b0;
	mst_i_ready = 1'b0;
	#20;
	i_rstn = 1'b1;
	#20;
	repeat(20)
	begin
		time_dly1 = $urandom_range(1,10);
		repeat(time_dly1)
		begin
			@(posedge i_clk);
		end
		@(posedge i_clk)
		mst_i_ready <= 1'b1;

		time_dly1 = $urandom_range(1,10);
		repeat(time_dly1)
		begin
			@(posedge i_clk);
		end
		@(posedge i_clk)
		mst_i_ready <= 1'b0;
	end
end

initial
begin
	sim_ctrl = 1;
	slv_i_valid = 1'b0;
	slv_i_data  = 0;
	@(posedge i_rstn);
	#20;
	repeat(20)
	begin
		@(posedge i_clk)
		slv_i_valid <= 1'b1;
		slv_i_data  <= $random();
		while(~slv_o_ready) @(posedge i_clk);
		@(posedge i_clk)
		slv_i_valid <= 1'b0;
		slv_i_data  <= 0;

		time_dly2 = $urandom_range(1,10);
		repeat(time_dly2) @(posedge i_clk);
	end
	#200;
	sim_ctrl = 0;
	#100;
	$finish;
end

integer file_handle_slv,file_handle_mst;
initial
begin
	file_handle_slv = $fopen("D:/VIVADO_WORK_SPACE/CBB_DESIGN/SIM_TXT/CBB_RS_BACKWARD_SLV_DATA.txt","w+");
 
	while(sim_ctrl)
	begin
		if(slv_i_valid&slv_o_ready)
		begin
			$fdisplayh(file_handle_slv,slv_i_data);
			$display("slv_i_data = %h",slv_i_data);
			@(posedge i_clk);
	 	end
	 	else
	 	begin
	 		@(posedge i_clk);
	 	end
	end
	$fclose(file_handle_slv);
end

initial
begin
	file_handle_mst = $fopen("D:/VIVADO_WORK_SPACE/CBB_DESIGN/SIM_TXT/CBB_RS_BACKWARD_MST_DATA.txt","w+");
 
	while(sim_ctrl)
	begin
		if(mst_o_valid&mst_i_ready)
		begin
			$fdisplayh(file_handle_mst,mst_o_data);
			@(posedge i_clk);
		end
		else
	 	begin
	 		@(posedge i_clk);
	 	end
	end
	$fclose(file_handle_mst);
end

CBB_RS_BACKWARD #(
		.P_DATA_WIDTH(P_DATA_WIDTH)
	) U_CBB_RS_BACKWARD (
		.i_clk       (i_clk),
		.i_rstn      (i_rstn),
		.slv_i_valid (slv_i_valid),
		.slv_i_data  (slv_i_data),
		.slv_o_ready (slv_o_ready),
		.mst_o_valid (mst_o_valid),
		.mst_o_data  (mst_o_data),
		.mst_i_ready (mst_i_ready)
	);

endmodule

波形

数据比对

验证时将主侧和从侧的握手数据记录下来并存放于文件中,利用perl脚本完成对数据的逐行比对。对比数据的完整性、准确性、顺序性。

perl脚本源码

=pod
=================================
时间:2024-08-17
作者:在路上-正出发
摘要:实现文本的逐行对比
=================================
=cut

use warnings;

my $txt_a_path = "D:\\VIVADO_WORK_SPACE\\CBB_DESIGN/SIM_TXT\\CBB_RS_BACKWARD_SLV_DATA.txt";
my $txt_b_path = "D:\\VIVADO_WORK_SPACE\\CBB_DESIGN/SIM_TXT\\CBB_RS_BACKWARD_MST_DATA.txt";

if((-e $txt_a_path) and (-e $txt_b_path))
{
    if((-s $txt_a_path) != (-s $txt_b_path))
    {
        die "文件大小不一致!\n";
    }
}
else
{
    die "文件不存在!\n";
}
open txt_a_handle , "<$txt_a_path\n" || die "can't open $txt_a_path\n";
open txt_b_handle , "<$txt_b_path\n" || die "can't open $txt_b_path\n";

my $line_txt_a;
my $line_txt_b;
my $error_flag=0;
my $line_cnt = 0;
while($line_txt_a = <txt_a_handle>)
{   
    $line_cnt = $line_cnt + 1;
    $line_txt_b = <txt_b_handle>;
    if($line_txt_a ne $line_txt_b)
    {
        $error_flag = 1;
        print "[ERROR]this line is not same!,line num = ".$line_cnt."\n";
    }
}
close(txt_a_handle);
close(txt_b_handle);

if($error_flag)
{                               
    print "  _____      _                       _     " . "\n"; 
    print " |\" ___| U  /\"\\  u       ___        |\"|    " . "\n"; 
    print "U| |_  u  \\/ _ \\/       |_\"_|     U | | u  " . "\n"; 
    print "\\|  _|/   / ___ \\        | |       \\| |/__ " . "\n"; 
    print " |_|     /_/   \\_\\     U/| |\\u      |_____|" . "\n"; 
    print " )(\\\\,-   \\\\    >>  .-,_|___|_,-.   //  \\\\ " . "\n"; 
    print "(__)(_/  (__)  (__)  \\_)-' '-(_/   (_\")(\"_)" . "\n"; 
}
else
{
    print "  ____        _        ____       ____    " ."\n"; 
    print "U|  _\"\\ u U  /\"\\  u   / __\"| u   / __\"| u " ."\n"; 
    print "\\| |_) |/  \\/ _ \\/   <\\___ \\/   <\\___ \\/  " ."\n"; 
    print " |  __/    / ___ \\    u___) |    u___) |  " ."\n"; 
    print " |_|      /_/   \\_\\   |____/>>   |____/>> " ."\n"; 
    print " ||>>_     \\\\    >>    )(  (__)   )(  (__)" ."\n"; 
    print "(__)__)   (__)  (__)  (__)       (__)     " ."\n"; 
}

比对结果

CBB综合

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2049239.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

栈与递归——385、341、394

385. 迷你语法分析器(中等) 给定一个字符串 s 表示一个整数嵌套列表&#xff0c;实现一个解析它的语法分析器并返回解析的结果 NestedInteger 。 列表中的每个元素只可能是整数或整数嵌套列表 示例 1&#xff1a; 输入&#xff1a;s "324", 输出&#xff1a;324 解释…

8个Python实用技巧,让你的代码效率飞起来

想要成为一名Python编程高手&#xff0c;不仅需要掌握语言的基础知识&#xff0c;还需要了解一些高效编程的技巧。 一、列表推导式 列表推导式是Python中一种快速生成列表的方法&#xff0c;相比传统的循环方式&#xff0c;代码更简洁、更高效。 # 使用列表推导式生成0到9的平…

vuex刷新数据丢失解决方式(插件)

1、下载插件 npm install vuex-persistedstate --save2、引入即可 import Vue from vue import Vuex from vuex import createPersistedState from vuex-persistedstate Vue.use(Vuex)const store new Vuex.Store({state: {login: null},mutations: {setlogin(state, login) …

总结HashMap, HashTable, ConcurrentHashMap 之间的区别

HashMap, HashTable, ConcurrentHashMap都是使用同一种数据结构&#xff08;数组链表&#xff09;&#xff1b; HashMap多线程状态下是不安全的&#xff1b;HashTable和ConcurrentHashMap是在HashMap的基本的数据结构上进行优化&#xff0c;使他们在多线程下是安全的&#xff…

24/8/17算法笔记 AC算法

AC算法通常指的是Actor-Critic&#xff08;演员-评论家&#xff09;算法&#xff0c;它是强化学习中的一种算法框架&#xff0c;用于解决代理&#xff08;agent&#xff09;在环境中的决策问题。Actor-Critic方法结合了价值估计和策略优化&#xff0c;通常比纯粹的价值迭代或策…

Nginx 配置文件中 location、proxy_pass最后的斜杠/作用

1.搭建一个简单的sprigbootthymeleaf项目 写个controller RequestMapping("/login") public String toLogin() {return "login"; } 写一个login.html页面 <!DOCTYPE html> <html xmlns:th"http://www.thymeleaf.org"> <head&…

瑞吉外卖后续笔记

Git学习 下载地址 Githttps://git-scm.com/ 常用的git代码托管服务 git常用命令 Git 全局设置: git config --global user.name "刘某人" git config --global user.email "邮箱号" 查看配置 git config --list git add 文件名 添加到暂冲区 git a…

SpringBoot--05--整合WebSocket,实现全双工通信

文章目录 为什么需要websocket项目中使用websocket导入maven坐标编写配置类server代码前端代码和http请求URL区别 为什么需要websocket 传统的HTTP协议是单向通信的&#xff0c;支持客户端向服务器发送请求&#xff0c;服务器接收请求。但是服务器有时也要向客户端发送请求。 …

万能视频播放器PotPlayer

软件介绍 PotPlayer播放器是一款全能 多媒体 影音播放器,堪称Windows平台最强大的本地 视频播放器. PotPlayer最新版拥有强劲播放引擎加速,支持DXVA,CUDA,QuickSync,多媒体播放器支持蓝光3D,其内置强大的编码器及滤镜/分离器。 支持自定义添加解码器,对字幕的支持非常优秀,能…

基于CST的特征模天线设计

前言&#xff1a; 特征模理论是在矩量法基础上发展而来的适用于各种电磁辐射和散射问题分析的理论&#xff0c;它有效综合了这两类方法的长处且克服了它们的不足&#xff0c;不仅可以通过明确的物理含义来直观深刻地揭示天线的工作原理&#xff0c;而且能求解任意辐射结构的复…

谷粒商城实战笔记-193~194-商城业务-多线程-线程池

文章目录 一&#xff0c;193-商城业务-异步-异步复习1. 继承Thread类2. 实现Runnable接口3. 实现Callable接口结合FutureTask4. 使用线程池 二&#xff0c;194-商城业务-异步-线程池详解1&#xff0c;线程池七大参数2&#xff0c;面试题3&#xff0c;Executors能创建的4中线程池…

个人经历分享如何用Python日入1K+,分享兼职网站和渠道!

大部分人主要通过接私活赚钱。我第一次接单是朋友介绍的&#xff0c;当时刚学Python&#xff0c;为一家公司爬数据&#xff0c;赚了一千多。从那之后逐渐熟练&#xff0c;常在假期接一些数据处理的单&#xff0c;很多时候兼职收入是主业收入的2~3倍。 附上我前两年的兼职接单记…

黑马头条vue2.0项目实战(十一)——功能优化(组件缓存、响应拦截器、路由跳转与权限管理)

1. 组件缓存 1.1 介绍 先来看一个问题&#xff1f; 从首页切换到我的&#xff0c;再从我的回到首页&#xff0c;我们发现首页重新渲染原来的状态没有了。 首先&#xff0c;这是正常的状态&#xff0c;并非问题&#xff0c;路由在切换的时候会销毁切出去的页面组件&#xff…

【vue讲解:vue3介绍、setup、ref、reactive、监听属性、生命周期、toRef、setup写法】

1 vue3介绍 # Vue3的变化-vue3完全兼容vue2---》但是vue3不建议用vue2的写法-拥抱TypeScript-之前咱们用的JavaScript---》ts完全兼容js- 组合式API和配置项APIvue2 是配置项apivue3 组合式api# vue4必须要用2 vue3项目创建和启动 # 创建vue3项目-vue-cli 官方不太建议用了…

【Java学习】方法的引用

所属专栏&#xff1a;Java学习 &#x1f341;1. 方法引用 方法的引用&#xff1a;把已经存在的方法拿来使用&#xff0c;当作函数式接口中抽象方法的方法体 " :: "是方法引用符 方法引用时需要注意&#xff1a; 1. 需要有函数式接口 2. 被引用的方法必须存在 3. …

4款专业高效的Win10 录屏工具大揭秘!

Win10 系统里面一般都有自带的录屏工具&#xff0c;用截图工具就可以实现录屏。但是呢&#xff0c;这个工具只适合录制一些简短的小片段。如果想要更多功能的录屏&#xff0c;还是需要使用到第三方的录屏工具&#xff0c;这次&#xff0c;我就跟大家分享4款专业的录屏软件。 1、…

拟南芥中基因家族序列的提取

1.拟南芥基因组数据的下载 phytozome 是一个收录植物基因组数据的网站&#xff0c;数据整理比较规范&#xff0c;已 经提供了去除可变剪切的 cds 和 protein 序列文件。只有 gff3 文件需要 过滤处理 2. 对拟南芥的注释文件gff3文件进行ID处理&#xff0c;最终得到以下4个文件 …

深度解析:.secret勒索病毒如何加密你的数据并勒索赎金

引言&#xff1a; 在当今这个数字化、信息化的时代&#xff0c;网络安全已成为一个不容忽视的重要议题。随着互联网的普及和技术的飞速发展&#xff0c;我们的生活、工作乃至整个社会的运转都越来越依赖于各种计算机系统和网络。然而&#xff0c;这种高度依赖也为我们带来了前…

硬件面试经典 100 题(51~70 题)

51、请列举您知道的覆铜板厂家。 生益、建滔。 52、示波器铭牌一般都会标识两个参数&#xff0c;比如泰克 TDS1002B 示波器标识的 60MHz 和 1GS/s&#xff0c;请解释这两个参数的含义。 60MHz 是指示波器的带宽&#xff0c;即正常可以测量 60MHz 频率以下的信号。 1GS/s 是指示…

鲲鹏920s 32核处理器linpack性能调优

1、BIOS参数调优 BIOS选项 设置值 Power Policy Performance Stream Write Mode Allocate share LLC CPU Prefetching Configuration Enabled Custom Refresh Rate 64ms Die Interleaving Disabled NUMA Enable SSBS Support Disabled 2、benchmark参数调优 主…