暄桐林曦老师推荐书单——《菜根谭》

news2024/9/9 4:54:37

暄桐是一间传统美学教育教室,创办于2011年,林曦是创办人和授课老师,教授以书法为主的传统文化和技艺,皆在以书法为起点,亲近中国传统之美,以实践和所得,滋养当下生活。
  
  在暄桐教室的课堂上,书法之外,读书也是很重要的功课。有一本《菜根谭》,是暄桐教室的必读书之一,林曦老师在课堂上曾多次与大家分享其中的一些好句子。
  
在这里插入图片描述

《菜根谭》,是明代文人洪应明收集编录的语录集,书名取自宋代大儒汪革的一句话,“人就咬得菜根,则百事可成”。这本书共收录了360条语录,涉及修身、养生、处世、治家、劝学等方面,也有栽花、种草、赏月、弹琴的闲情。
  
  书籍的编录者洪应明认为,人的心本来是寂静澄明的,但常常地,会受到物欲与情识的遮蔽,如若想回到心灵本初的澄净,需要我们时时自省。这些句子,就像一个个小提醒,不花许多时间,安静读下时,身心都很受益。
  
  所以分享《菜根谭》里的金玉良言,愿你也受益。
  
  安身立命的工夫
  
  从静中观物动,向闲处看人忙,才得超尘脱俗的趣味;
  
  遇忙处会偷闲,处闹中能取静,便是安身立命的工夫。
  
  世事力担当 又要善摆脱
  
  宇宙内事,要力担当,又要善摆脱。不担当,则无经世之事业;不摆脱,则无出世之襟期。
  
  风息时休起浪
  
  鸿未至先援弓,兔已亡再呼矢,总非当机作用;风息时休起浪,岸到处便离船,才是了手工夫。
  
  不浓亦不枯
  
  念头浓者自待厚,待人亦厚,处处皆厚;念头淡者自待薄,待人亦薄,事事皆薄。故君子居常嗜好,不可太浓艳,亦不宜太枯寂。
  
  业不求满 功不求盈
  
  事事要留个有余不尽的意思,便造物不能忌我,鬼神不能损我。若业必求满,功必求盈者,不生内变,必招外忧。
  
  天地清澈者 触物皆会心
  
  鸟语虫声,总是传心之诀;花英草色,为非见道之文;学者要天机清澈,胸次玲珑,触物皆有会心处。
  
  美名不全任 污名不全推
  
  完名美节,不宜独任,分些与人,可以远害全身。辱行污名,不宜全推,引些归己,可以韬光养德。
  
  和暖福泽厚
  
  天地之气,暖则生,寒则杀。故性气清冷者,受享易凉薄;惟气和暖心之人,其福亦厚,其泽亦长。
  
  风斜雨急处 要立得脚定
  
  风斜雨急处,要立得脚定;花浓柳艳处,要着得眼高;路危径险处,要回得头早。
  
  真味只是淡 至人只是常
  
  醴肥辛甘非真味,真味只是淡。神奇卓异非至人,至人只是常。
  
  天地有和气 人心有喜神
  
  疾风怒雨,禽鸟戚戚;霁月光风,草木欣欣。可见天地不可一日无和气,人心不可一日无喜神。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1961420.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

机械运动、工控机概念整理

Signal : 信号 pul 【pulse】是驱动脉冲信号,发一个脉冲,电机走一步。 dir是方向控制信号,控制电机正转或反转。 ena是使能信号,这个信号必须开启,才能驱动电机。 voltage: 电压 VCC&#…

Python软件开发:AI毕业设计生成器引领未来

🌟 革新软件开发:Python毕业设计生成器引领未来 🚀 目录 🌟 革新软件开发:Python毕业设计生成器引领未来 🚀🎓 课题简介🌟 开发目的📈 开发意义 📚 研究方法&…

[ BLE4.0 ] 伦茨ST17H66开发-串口UART0的接收与发送

目录 一、前言 二、实现步骤 1.设置回调函数 2.关闭睡眠模式 三、效果展示 四、工程源代码 一、前言 串口通信在任何一款单片机开发中都是尤为重要的。本文涉及的开发所使用的例程依然是基于[ BLE4.0 ] 伦茨ST17H66开发-OSAL系统中添加自己的Task任务文章的工程源码&#x…

进程间通信--套接字socket

前面提到的管道、消息队列、共享内存、信号和信号量都是在同一台主机上进行进程间通信,那要想跨网络与不同主机上的进程之间通信,就需要Socket通信了。 实际上,Socket通信不仅可以跨网络与不同主机的进程间通信,还可以在同主机上…

一键升级GIS场景视觉效果,告别繁琐操作

在当今的数字化时代,GIS(地理信息系统)不再仅仅只能通过一些二维示意图或简陋的三维地形图表示,它可以通过专业的软件简单升级视效。想象一下,在你的GIS场景中,阳光明媚的天气、突如其来的暴风雨、缭绕的晨…

工时管理平台核心功能解析:你需要了解的

国内外主流的10款工时管理平台对比:PingCode、Worktile、Todoist、ClickUp、滴答清单、专注清单、一木清单、NarTick、Tweek、朝暮计划。 在选择合适的工时管理平台时,你是否感到挑战重重?市场上的各种选项似乎都声称能够提升效率和减轻管理负…

【SQL Server点滴积累】SQL Server 2016数据库邮件(Database Mail)功能故障的解决方法

今天和大家分享SQL Server 2016数据库邮件(Database Mail)功能故障的解决方法 故障现象: 在SQL Server 2016中配置完成数据库邮件(Database Mail)功能后,当你尝试发送测试邮件后,既收不到测试邮件,也不显示错误消息 KB3186435 -…

Python数值计算(11)——拉格朗日插值

本篇介绍一下多项式插值中,拉格朗日法的原理及其实现。 1. 一点数学知识 先引用数学背景。如果给定N个点,然后要求一个多项式通过这N个点,最简单直接的方式是列出线性方程求解,N个点可以确定N个未知量,则所求的拟合多…

下面关于枚举的描述正确的一项是?

A. 枚举中定义的每一个枚举项其类型都是String; B. 在Java中可以直接继承java.util.Enum类实现枚举类的定义; C. 利用枚举类中的values()方法可以取得全部的枚举项; D. 枚举中定义的构造方法只能够使用private权限声明; 答案选择…

springboot山东外事职业大学校园食堂点餐系统-计算机毕业设计源码10417

摘 要 近年来,随着国民收入的提高,各行业取得长足进步,也带动了互联网行业的快速发展,许多传统行业开始与互联网相结合,通过数字化转型打造新的发展生态。 本文针对山东外事大学校园食堂点餐系统的需求,基于…

Java内存区域与内存溢出异常详解

在Java编程中,理解Java虚拟机的内存布局及其管理机制对于开发高效、稳定的应用程序至关重要。Java虚拟机的内存主要分为几个运行时区域,这些区域各司其职,共同支撑起Java程序的运行。本文将详细探讨Java虚拟机的内存区域以及这些区域如何与内…

Yolov模型的使用及数据集准备(1)LabelImg的下载和使用

1、LabelImg下载: labelimg简单来说就是打标签用的软件,当需要使用自定义数据集进行模型训练时,往往需要使用该软件来打标签。 下载地址:GitHub - HumanSignal/labelImg 1.1下载之后对压缩包进行解压 2、打开电脑的anaconda pro…

MyBatis XML配置文件

目录 一、引入依赖 二、配置数据库的连接信息 三、实现持久层代码 3.1 添加mapper接口 3.2 添加UserInfoXMLMapper.xml 3.3 增删改查操作 3.3.1 增(insert) 3.3.2 删(delete) 3.3.3 改(update) 3.3.4 查(select) 本篇内容仍然衔接上篇内容,使用的代码及案…

8G 显存玩转书生大模型 Demo

创建可用环境 # 创建环境 conda create -n demo python3.10 -y # 激活环境 conda activate demo # 安装 torch conda install pytorch2.1.2 torchvision0.16.2 torchaudio2.1.2 pytorch-cuda12.1 -c pytorch -c nvidia -y # 安装其他依赖 pip install transformers4.38 pip in…

Moving Object Segmentation: All You Need Is SAM(and Flow) 论文详解

系列文章目录 文章目录 系列文章目录前言摘要1 引言2 相关工作3 SAM Preliminaries4 帧级分割Ⅰ:以流作为输入5 帧级分割Ⅱ:以流为提示6 序列级掩膜关联7 实验7.1 数据集7.2 评价指标7 .3 实施细节7.4 消融实验7.5 定量结果7 .定性可视化 8 结论致谢附录…

01 - 计算机组成原理与体系结构

文章目录 一,计算机系统硬件基本组成硬件软件 二,CPU的功能与组成功能组成运算器控制器 三,数据表示计算机的基本单位进制转换原码,反码,补码,移码数值表示范围浮点数表示 四,寻址五&#xff0c…

【Unity模型】古代亚洲建筑

在Unity Asset Store上,一款名为"Ancient Asian Buildings Pack"(古代亚洲建筑包)的3D模型资源包,为广大开发者和设计师提供了一个将古代亚洲建筑风格融入Unity项目的机会。本文将详细介绍这款资源包的特点、使用方式以…

如何选择合适的自动化测试工具!

选择合适的自动化测试工具是一个涉及多方面因素的决策过程。以下是一些关键步骤和考虑因素,帮助您做出明智的选择: 一、明确测试需求和目标 测试范围:确定需要自动化的测试类型(如单元测试、集成测试、UI测试等)和测试…

AI视频实战教程:DiffIR2VR-Zero-模糊视频8K高清修复技术

〔探索AI的无限可能,微信关注“AIGCmagic”公众号,让AIGC科技点亮生活〕 本文作者:AIGCmagic社区 猫先生 一、简 介 DiffIR2VR-Zero:一种创新的零样本视频恢复技术,该技术利用预训练的图像恢复模型,解决…

C++高性能通信:图形简述高性能中间件Iceoryx

文章目录 1. 概述2. 支持一个发布者多个订阅者2.2 Iceoryx为何不支持多个发布者发布到同一个主题 3. Iceoryx的架构和数据传输示意图3.1 发布者与订阅者的通信机制3.2 零拷贝共享内存通信机制 4. 使用事件驱动机制4.1 WaitSet机制4.2 Listener机制 5. 已知限制6. 参考 1. 概述 …