基于OptiSystem的LD\LED\EDFA仿真分析

news2024/10/8 15:30:28

1、客户需求:

(1) 仿真LD、LED直接调制系统的输出光谱,观察系统输出性能。

(2) 采用EDFA的光纤通信系统,实现对多路光波信号的放大,实现200km、40Gbit/s的传输。用仿真软件搭建一个WDM系统,观察系统误码情况。

2、系统仿真:

(1)仿真LD、LED直接调制系统的输出光谱,观察系统输出性能。

LD系统设计:仿真系统连接图如图所示,采用直接调制,调制速率为10Gbit/s。其中,Laser Rate Equations器件用于仿真LD,Pseudo-Random Bit Sequence Generator用于产生伪随机比特序列,Optical Spectrum Analyzer用于观测LD输出光谱,传输链路采用单模光纤SMF。信号接收端采用PIN二极管进行光电转换,采用Low pass Bessel filter进行滤波处理,为了观察系统的运行状态,用观测仪器进行信号的分析与评价。

器件参数设置如下:波长为1552.52nm,偏置电流200mA。信号调制速率为10Gbit/s。

通过光谱仪观测,输出光谱在1552.52nm波长处具有较窄的线宽,与较高的输出光信号功率。通过示波器观测,经过单模光纤传输后信号具有较小的噪声,以及良好的传输特性。通过眼图可以看到,眼睛张开度大,误码率近似于0,信号质量好。

LED系统设计:仿真系统连接图如图所示,采用直接调制,其中,LED器件受调制后发出信号光,经过Linear Multimode Fiber多模光纤。

器件参数设置:波长为1300nm,带宽为50nm。信号调制速率为100Mbit/s。多模光纤的长度为500m,损耗为2.61dB/km。

通过光谱仪观测,输出光谱在1300nm波长处具有较宽的线宽,与较低的输出光信号功率。通过眼图分析仪可以看到,接收到的信号眼图误码率为8.6e-13,Q因子为7.0,满足信号的传输要求。由于线宽不同,LED适宜低速率信号传输,而LD可以用于较高速率的光纤通信。

(2)采用EDFA的光纤通信系统,实现对多路光波信号的放大,实现200km、40Gbit/s的传输。用仿真软件搭建一个WDM系统,观察系统误码情况。

EDFA仿真系统设计:连接图如图所示,WDM Transmitter发射多通道的信号,波长为1546~1558nm,波长间隔为0.8nm。EDFA采用980 nm波长的泵浦光源,对一定长度的掺铒光纤进行后向泵浦。

参数设置:WDM Transmitter发射16通道的信号,波长为1546~1558nm,波长间隔为0.8nm,功率为-23.5dBm,线宽为10MHz。EDF的长度为4.75m,泵浦激光的波长为980nm,功率为15.36mW。

从最终的光谱图可以看出,在1546~1558nm波长范围内获得了较为平坦的增益输出,信号光功率得到了明显的放大,增益达到了18.5dB及以上。

WDM系统设计:连接图如图所示。该系统主要包括信源模块,波分复用模块,光纤传输链路模块,解复用模块和信号接收模块。调用Transmitters Library中光输入源库中的CW Laser(连续激光器)、Transmitters Library中的二进制序列发生器库中的Pseudo-Random Bit Sequence Generator(伪随机序列发生器)、还有Pulse Generators中的电发生器中的NRZ Pulse Generator(非归零脉冲发生器)和Optical Modulators中的MZ Modulator(马赫曾德调制器)。共7个通道的信号,进行波分复用,送入传输链路进行信号的传输,采用色散补偿光纤DCF进行色散补偿,利用EDFA实现光信号放大。调用Receivers library中的Photodetector PIN(PIN光电探测器),而为了更具体的表述该光纤系统的传输信号的准确性和可靠性,在整个光纤传输系统的接收模型后调用Receivers library中Regenerators中的3R Regenerator(3R再生器)和Visualizer library中Electrical中的BER analyzer(误码率分析仪),从而进行信号分析。

参数设置:序列发生器Pseudo-Random Bit Sequence Generator设置如下,Bit rate为40Gbit/s。激光器的波长设置为1550nm,功率设置为1mW,线宽为0.1MHz。光纤设置长度为50km,损耗为0.2dB/km,色散为16ps/nm/km。色散补偿光纤长度为10km,损耗为0.5dB/km,色散为-80ps/nm/km。

仿真结果如图所示。

经过100km的传输后,采用光谱仪观察光谱,可以看到7个通道的信号光如图所示,保持较高的信噪比。采用光功率计测试传输后的信号光功率,为10.20dBm。采用示波器观察193.1THz传输通道的眼图和误码特性,采用误码仪观察误码情况,可以看到信号脉冲序列较为规整,眼图张开度大,误码率为3.14e-79,表明该WDM系统具有较好的信号传输质量。

工种昊: 320科技工作室

3、总结展望:

本案例设计了仿真LD、LED直接调制系统,研究了系统输出性能;仿真了EDFA的光纤通信系统,实现了200km、40Gbit/s的传输,搭建了一个7通道的WDM光纤通信系统,该案例具有灵活的可拓展性,可以根据客户需求进行功能的丰富与系统结构的优化。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/191044.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

关系数据库——关系代数

文章目录一、传统的集合运算二、专门的关系运算1.选择(restriction)2.投影(Projection)3.连接(join)1)等值连接2)自然连接4.除(Division)一、传统的集合运算 …

《深入浅出计算机组成原理》学习笔记 Day17

冒险和预测(一)1. 结构冒险2. 数据冒险参考1. 结构冒险 结构冒险本质上是一个硬件层面的资源竞争问题,也就是一个硬件电路层面的问题。 CPU 在同一个时钟周期内,同时在运行两条计算机指令的不同阶段,但这两个不同阶段…

Github消息推送到Telegram,并使用Vercel部署

Github buibuibui Telegram 仓库地址:https://github.com/yesmore/gh-buibuibui-tg 借助 Github 的 Webhooks、Vercel’s Serverless API 和 Telegram Bot,连接 Github 和 Telegram,在 Telegram chat 中接收仓库的消息。 效果:你…

深入分析ConcurrentHashMap1.8的扩容实现

什么情况会触发扩容 当往hashMap中成功插入一个key/value节点时,有可能触发扩容动作: 1、如果新增节点之后,所在链表的元素个数达到了阈值 8,则会调用treeifyBin方法把链表转换成红黑树,不过在结构转换之前&#xff0…

做跨境电商日本市场,如何才能让客户满意?

跨境电商作为互联网技术的产物,现如今早就风靡全世界。我国跨境电商行业的发展起步时间比较晚,但在各方力量的加持下,行业的发展日趋完善,行业对国内经济的带动作用也更加明显。当下许多国潮品牌在Starday等跨境电商服务平台的支持…

如何选择研发效能管理平台?好用的研发效能管理平台有哪些

本文将对比介绍8款比较知名的效能度量管理平台:1.PingCode;2.思码逸;3.云效;4.金山云;5.Git;6.Jenkins;7.Bamboo;8.Docker。但在对比研发效能平台之前,我们先来聊一些研发…

Linux驱动开发基础__POLL机制

目录 1 适用场景 2 POLL机制的内核代码详解 2.1 sys_poll 函数 2.2 do_sys_poll 函数 2.3 do_poll函数 3 poll机制使用流程 4 驱动编程 5 应用编程 6 代码 6.1 gpio_key_drv.c 6.2 button_test.c 6.3 Makefile 可以看 字符设备驱动程序之poll机制 那篇文章中的机…

【认证相关】FTA

FTA 测试需要涉及的工作与流程测试大致流程及涉及的工作送测Lab 之前需做的准备工作项目与测试样机基本信息如下信息请填写发给MTK,以便MTK 了解基本的认证项目与产品信息PICS 相关MTK 会提供一份Chip 的default PICS,但是客户还需要自行修改一些项的Val…

分享154个ASP源码,总有一款适合您

ASP源码 分享154个ASP源码,总有一款适合您 下面是文件的名字,我放了一些图片,文章里不是所有的图主要是放不下..., 154个ASP源码下载链接:https://pan.baidu.com/s/1Jt3X-WAZv-rZswzjwyEtSQ?pwdt46u 提取码&#x…

【C++初阶】七、STL---vector模拟实现

目录 一、模拟实现接口总览 1.1 接口总览 1.2 vector整体框架 1.3 vector成员变量介绍 二、vector模拟实现 2.1 构造函数 2.1.1 无参构造 2.1.2 迭代器区间构造 2.1.3 n个val构造 2.1.4 拷贝构造 2.2 赋值运算符重载 2.2.1 传统写法 2.2.2 现代写法 2.3 析构函数…

蓝桥杯刷题018——和与乘积(贪心)

2021国赛:和与乘积 题目描述 给定一个数列 ,问有多少个区间[L,R] 满足区间内元素的乘积等于他们的和,即 输入描述 输入第一行包含一个整数 n,表示数列的长度。 第二行包含 n 个整数,依次表示数列中的数 a1​,a2​,⋯,a…

【Vue】模板语法——文本插值

一、模板语法什么是模板语法Vue 使用一种基于 HTML 的模板语法,使我们能够声明式地将其组件实例的数据绑定到呈现的 DOM 上。所有的 Vue 模板都是语法层面合法的 HTML,可以被符合规范的浏览器和 HTML 解析器解析。在底层机制中,Vue 会将模板编…

(十四)docker安装nacos

一、简介 操作系统:Linux CentOS 7.3 64位 docker版本:19.03.8 nacos版本:默认拉取最新版本 二、实践 1、拉取镜像 docker pull nacos/nacos-server 2、运行容器 docker run --name nacos -p 8848:8848 \ --privilegedtrue \ --restar…

二、什么是GStreamer

GStreamer是一个用于创建流媒体应用程序的框架。基本的设计来自俄勒冈研究生院的视频管道,还有一些来自DirectShow的想法。 GStreamer的开发框架使编写任何类型的流媒体应用程序成为可能。GStreamer框架旨在使编写处理音频或视频或两者同时处理的应用程序变得容易。…

01_学习springdoc的基本使用

文章目录1 什么是 springdoc ?2 springdoc 基本信息3 maven 依赖4 正文来袭4.1 给 Controller 加注解4.2 给 Model 加注解5 大功告成1 什么是 springdoc ? 网上冲浪🏄🏻‍♂️时,无意间发现 java web 应用程序的在线接口文档,除…

开源大数据分析平台的内容有什么?

在大数据时代,做好数据管理是非常重要的一个步骤。可以给企业做出正确的经营决策,指引新的发展方向。因此,随着数字化时代的到来,很多企业都倾向于寻找适宜的开源大数据分析平台,以此提升企业办公协作效率,…

【184】Win10下Java8调用Python的face_recognition库来实现人脸识别

前言 face_recognition 是一个开源的、人脸识别的Python库。本文讲解了在 Windows 10操作系统上,使用 Java8 来调用 Python 的 face_recognition 库来实现人脸识别。虽然 Java8 有 Jython,但是由于截至发文时 Jython 支持的版本太老(只有Pyt…

oracle——列表分页查询(原理)

文章目录前言数据表的准备分页sql1、简单分页实现2、排序分页3、排序优化前言 在平时的开发中,Oracle的分页查询用的特别多,接下来不止是说使用,更讲分页sql写法的原理。 数据表的准备 创建一张数据表,并填充大量的数据。 cre…

大数据技术架构(组件)12——Hive:判断函数

1.4.6、判断函数1.4.6.1、ifselect if(11,a,b),if(12,a,b) ;1.4.6.2、isnullselect isnull(1),isnull(null);1.4.6.3、isnotnullselect isnotnull(1),isnotnull(null);1.4.6.4、nvlselect nvl(1,1),nvl(null,1);1.4.6.5、coalesceselect coalesce(1,null,2,3,null,4,null),coal…

感谢第三弹 | 开启地铁国产化浪潮 GBASE获多方城市“地下动脉”肯定

岁末年初,GBASE收到了来自深圳地铁、高新现代智能系统股份有限公司、深圳达实智能股份有限公司等客户及合作伙伴发来的荣誉证书及感谢信。作为亲密无间的战友,GBASE携手高新现代、达实智能在深圳地铁CLC、ACC、AFC多个条线项目中通力合作,助力…