Scala-变量和数据类型

news2024/9/22 13:46:28

注释

在Scala中注释和Java基本一样

单行注释://
多行注释:/* */
文档注释:/**
          *
          */

变量和常量

基本语法

声明变量:var 变量名 [:变量类型] = 初始值 如:var a:Int = 10
声明常量:val 变量名 [:常量类型] = 初始值 如:val b:Int = 20
  1. 声明变量时 变量类型可以省略 编译器自动推导

val a = 10
  1. 类型确定后 就不能修改 Scala 是强数据类型语言。

  1. 变量声明时 必须要有初始值

  1. 在声明或定义一个变量时 可以使用 var 或者 val 来修饰 var 修饰 的变量可改变 val 修饰的变量不可改变

字符串

基本用法

1.字符串,通过+号连接

    val name: String = "小明"
    val age: Int = 19
    println("姓名:"+name+"年龄:"+age)

2.printf 用法:字符串,通过%传值(格式化字符串)

    val name: String = "小明"
    val age: Int = 19
    printf("姓名:%s 年龄:%d",name,age)

3.字符串模板(插值字符串):通过$获取变量值

    val name: String = "小明"
    val age: Int = 19
    println(s"姓名:${name} 年龄:${age}")

4.模板格式化

 val num: Double = 1.2125
 println(f"num保留两位小数 ${num}%2.2f") //2.2 前面的2表示整体长度 后的2表示取小数点后多少位

5.三引号表示字符串 保持多行字符串的原格式输出

    val name: String = "小明"
    val age: Int = 19
    val sql =
      s"""
         |select
         | name,
         | age
         |from user
         |where name="${name}" and age=${age}
 """.stripMargin
    println(sql)

键盘输入数据

三种常用类型数据输入的方法:StdIn.readLine()、StdIn.readShort()、StdIn.readDouble()

    println("输入姓名:")
    var name = StdIn.readLine()
    
    println("输入年龄:")
    var  age = StdIn.readShort()
    
    println("输入身高:")
    var h = StdIn.readDouble()
    
    println(name)
    println(age)
    println(h)

读写文件

1.读取文件内容

新建一个文本文件并写入内容

使用 Source类中的fromFile方法并用foreach打印输出

 //从文件中读取数据
        Source.fromFile("src/main/Scala/zz/read.txt").foreach(print)

打印结果:

2.写入文件

scala可以直接调用java中的类 所以写入文件直接调用java的PrintWriter类

//从文件中写入数据
val writer = new PrintWriter(new File("src/main/Scala/zz/read.txt"))
    writer.write("你好 Scala")
    writer.close()

//从文件中写入数据
Source.fromFile("src/main/Scala/zz/read.txt").foreach(print)

显示写入结果:

数据类型介绍

Java基本类型:char、byte、short、int、long、float、double、boolean Java引用类型:(对象类型) Java基本类型的包装类:Character、Byte、Short、Integer、Long、Float、Double、Boolean

Scala数据结构关系图:

  1. Scala中一切数据都是对象,都是Any的子类。

  1. Scala中数据类型分为两大类:数值类型(AnyVal)、 引用类型(AnyRef),不管是值类型还是引用类型都是 对象。

  1. Scala数据类型仍然遵守,低精度的值类型向高精 度值类型,自动转换(隐式转换)

  1. Scala中的StringOps是对Java中的String增强

  1. Unit:对应Java中的void,用于方法返回值的位置,表 示方法没有返回值。Unit是 一个数据类型,只有一个对象 就是()。Void不是数据类型,只是一个关键字

  1. Null是一个类型,只 有一个对 象就 是null。它是 所有引用类型(AnyRef)的子类。

  1. Nothing,是所有数据类型的子类,主要用在一个函数没有明确返回值时使 用,因为这样我们可以把抛出的返回值,返回给任何的变量或者函数。

整数类型和浮点类型

整数数据类型:

浮点数据类型:

字符类型

字符类型可以表示单个字符,字符类型是 Char

val a:Char = 'a'

(1)字符常量是用单引号 ' ' 括起来的单个字符。 (2)\t :一个制表位,实现对齐的功能 (3)\n :换行符 (4)\\ :表示\ (5)\" :表示"

空类型

数据类型

描述

Unit

表示无值,和其他语言中 void 等同。用作不返回任何结果的方法的结果 类型。Unit 只有一个实例值,写成()。

Null

null , Null 类型只有一个实例值 null

Nothing

Nothing 类型在 Scala 的类层级最低端;它是任何其他类型的子类型。 当一个函数,我们确定没有正常的返回值,可以用 Nothing 来指定返回类 型,这样有一个好处,就是我们可以把返回的值(异常)赋给其它的函数 或者变量(兼容性)

数据类型转换

自动转换:精度小的类型自动转换为精度大的数值类型(与Java同理)

  1. 自动提升原则:有多种类型的数据混合运算时,系统首先自动将所有数据转换成 精度大的那种数据类型然后再进行计算。

  1. 把精度大的数值类型赋值给精度小的数值类型时,就会报错,反之就会进行自动 类型转换。

  1. (byte,short)和 char 之间不会相互自动转换。

  1. byte,short,char 他们三者可以计算,在计算时首先转换为 int 类型。

强制转换:使用时要加上 强制转函数,但可能造成精度降低或溢出,格外要注意。

var num : Int = 2.7.toInt

将数据由高精度转换为低精度,就需要使用到强制转换

强转符号只针对于最近的操作数有效,往往会使用小括号提升优先级

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/182076.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

深度卷积神经网络、池化层

目录1.深度卷积神经网络(a deep convolutional neural network)输入图像的维度是,如果,计算输出图像维度公式:。s表示步幅,p表示填充的层数。filters的通道数是和输入图像的通道数保持一致的。分析上图案例:第一层卷积…

《深入浅出计算机组成原理》学习笔记 Day13

数据通路(中)1. 时钟信号的硬件实现2. 通过 D 触发器实现存储功能参考1. 时钟信号的硬件实现 有些电路只要需要给定输入,就能得到固定的输出,这样的电路称为组合逻辑电路(Combination Logic Circuit)。 时…

如何使用自己的虚拟机(linux)做个RAID玩?

在虚拟机原有基础上添加两块磁盘(均20G),步骤如下:使用命令“lsblk”检查添加是否生效;使用命令“mdadm”进行linux的raid管理(先安装mdadm工具)创建RAID1,名字为md1,即使用mdadm命令…

虚拟机字节码执行引擎

------摘自周志明 《深入理解Java虚拟机》运行时栈帧结构Java虚拟机以方法作为最基本的执行单元,“栈帧”(Stack Frame)则是用于支持虚拟机进行方法调用和方法执行背后的数据结构,它也是虚拟机运行时数据区中的虚拟机栈&#xff…

C语言及算法设计课程实验三:最简单的C程序设计——顺序程序设计((一、二、三、四)的综合文章)

C语言及算法设计课程实验三:最简单的C程序设计——顺序程序设计((一、二、三、四)的综合文章)一、实验目的二、 实验内容2.1、实验内容1:通过下面的程序掌握各种格式转换符的正确使用方法2.2、实验内容2&am…

十年聚焦,巨杉数据库再获毕马威2022领先金融科技50企业殊荣

巨杉数据库凭借在金融科技与分布式数据库领域出色的市场表现与过硬的技术实力,成功入选“2022中国领先金融科技50企业”,成为本次榜单唯一入选的分布式数据库厂商。 1月16日,「2022毕马威中国金融科技企业双50榜单」(下简称榜单&a…

数组扁平化,操作方法

数组扁平化是指将一个多维数组变成一维数组。 [1, [[2, 3], 4]] --> [1, 2, 3, 4] 目录 方法1: Array.prototype.flat() 方法2:Array.prototype.toString() 和 Array.prototype.join() 方法3:递归 方法4:扩展运算符 方法5…

Centos下安装ActiveMQ消息中间件

记录一下在centos7.x下面安装activeMQ消息中间件在安装ActiveMQ之前必须保证服务器安装了java环境安装java环境的地址:安装java环境找到activeMQ的官网下载安装包https://activemq.apache.org/components/classic/download/java版本是java8从官网下载后(apache-activemq-5.16.5…

【Linux】题解:生产者与消费者模型(附源代码)

【Linux】题解:生产者与消费者模型(附源代码) 摘要:本文主要介绍生产者与消费者模型,其中主要内容分为对该模型的介绍及分析,阻塞队列实现该模型,并对其升级实现多生产者多消费者并行执行。其中…

Day14 基于AOP的声明式事务控制

1 Spring 事务编程概述PlatformTransactionManager TransactionDefinition TransactionStatus2 搭建环境数据库准备一个账户表tb account;dao层准备一个AccountMapper,包括incrMoney和decrMoney两个方法;service层准备一个transferMoney方法,分别调用in…

18行列式及其性质

从此课开始,就进入了这门课的第二部分。迄今为止,已经学习了很多关于长方矩阵的知识,现在,把注意力转向方阵,探讨两个大的话题:行列式和特征值,需要行列式的重要原因是求特征值。 行列式是跟每…

U3772频谱分析仪

18320918653 U3772 新的便携式频谱分析仪具有体积小,重量轻的特点,可以在微波和毫米波范围内测量无线信号日本株式会社爱德万测试是全球半导体测试系统的领先企业,于2005年7月6日发布了一种新的便携式频谱分析仪U3771(频率达到3…

[Java-多线程] 锁原理(轻量级锁、锁膨胀、自旋锁、偏向锁)

1.Java对象头 我们平时使用的对象都是由两部分组成, 第一部分是对象头, 第二部分是对象的成员变量, 这里我么主要讲解对象头, 以32为虚拟机为例 : Object Header (64 bits)Mark Word (32 bits)Klass Word (32 bits)Klass Word : 每个对象都有类型 通过Klass Word就可以找到对应…

离散数学与组合数学-07命题逻辑

文章目录离散数学与组合数学-07命题逻辑7.1 命题逻辑-什么是命题7.1.1 数理逻辑发展7.1.2 什么是命题7.1.3 复合命题7.2 命题逻辑-命题联结词7.2.1 否定联结词7.2.2 合取联结词7.2.3 析取联结词7.2.4 蕴涵联结词7.2.5 等价联结词7.3 命题逻辑-命题符号化及应用7.3.1 联结词总结…

什么是JMM模型

什么是JMM模型?Java内存模型(Java Memory Model简称JMM)是一种抽象的概念,并不真实存在,它描述的是一组规则或规范,通过这组规范定义了程序中各个变量(包括实例字段,静态字段和构成数组对象的元素&#xff…

11. 线程本地变量ThreadLocal的使用

1. 对ThreadLocal的理解 ThreadLocal,有人称它为线程本地变量,也有人称它为线程本地存储,其实表达的意思是一样的。ThreadLocal在每一个变量中都会创建一个副本,每个线程都可以访问自己内部的副本变量。 在多线程环境下&#xff…

tomcat 的并发能力分析

tomcat 参考:Tomcat的3个参数acceptCount、maxConnections、maxThreads Tomcat 的核心组件 Tomcat 由 2 大核心组件组成:Connector、Container Tomcat 处理请求的过程 请求在 tomcat 服务器的处理过程(BIO 模式) 客户端与服务…

嵌入式串行通信协议

嵌入式系统中,不同芯片之间通常使用串行总线的方式进行连接,根据器件行业规范、应用场景,不同芯片通常选择不同的串行通信接口进行通信。常用的串行通信接口有:1-Wire、I2C、SPI、UART。 一、1-Wire 1-wire单总线是Maxim的全资子…

bodgeito通关教程

6.bodgeito通关教程 进入网站整体浏览网页 点击页面评分进入关卡 一般搭建之后这里都是红色的&#xff0c;黄色是代表接近&#xff0c;绿色代表过关 首先来到搜索处本着见框就插的原则 构造payload输入 <script> alert(/xss/)</script>成功弹窗xss&#xff0c;发…

Redis数据库

1.Redis简介 1.1Redis简介 Redis 是当前互联网世界最为流行的 NoSQL&#xff08;Not Only SQL&#xff09;数据库。NoSQL 在互联网系统中的作用很大&#xff0c;因为它可以在很大程度上提高互联网系统的性能。 Redis 具备一定持久层的功能&#xff0c;也可以作为一种缓存工具…