串口和 蓝牙模块HC08

news2024/10/5 17:27:13

串口基本认知

串行接口简称串口,也称 串行通信 接口或 串行通讯接口 (通常指 COM 接口 ),是采用串行通信方
式的 扩展接口 串行 接口Serial Interface )是指数据一位一位地顺序传送。其特点是 通信线路 简 单,只要一对传输线就可以实现双向通信(可以直接利用电话线作为传输线),从而大大降低了成本,特别适用于远距离通信,但传送速度较慢
  • 是设备间接线通信的一种方式
  • 数据一位一位地顺序传送
  • 双向通信,全双工
  • 传送速度相对较慢

 串口关于电器标准和协议

串行接口按电气标准及协议来分包括 RS-232-C RS-422 RS485 等。 RS-232-C RS-422 RS-485 标准只对接口的电气特性做出规定,不涉及接插件、电缆或协议。

RS-232

也称为标准串口,最常用的一种串行通讯接口,比如我们电脑主机的9针串口,最高速率是20kb/s,RS-232是为点对点设计的,最长传输距离为15m,适合本地设备之间的通信。

RS-422

支持点对点的双向通信,最大传输距离为1219米,最大传输速率为10Mb/s。

RS-482

是从RS-422基础上发展而来的,无论四线还是二线连接方式总线上可多接32个设备。

 串口的电平

异步串行是指 UART Universal Asynchronous Receiver/Transmitter ),通用异步接收 / 发送。
UART 包含 TTL 电平的 串口 RS232 电平的串口 所以串口的概念比UART大一点。

怎么理解异步通信?

由于单片机和电脑,不同设备的频率和配置不同,因此CPU运行速度不同,所以各自使用各自的时钟来相互配合。

RS232电平和TTL电平有什么区别?

对于RS232逻辑1是 -3 ~ -15V的电压,逻辑0是 3 ~ 15V 的电压。

TTL电平Transistor-Transistor Logic,即晶体管-晶体管逻辑的简称,TTL电平信号应用广泛,是因为其数据表示采用二进制规定,+5v等价于逻辑1,0v等价于逻辑0。 在数字电路中,由TTL电子元器件组成电路的电平是个电压范围,规定:

输出高电平>=2.4V,输出低电平<=0.4V; 

输入高电平>=2.0V,输入低电平<=0.8V;

 串口通信

  • RXD:数据输入引脚,数据接受;STC89系列对应P3.0
  • TXD:数据发送引脚,数据发送;STC89系列对应P3.1
  • 接线方式

 

笔记本电脑通过TTL电平和单片机通讯:TX发送线(端口);RX接受线(端口),并使用CH340进行USB转TTL。

串口编程要素

串口的结构如下图所示,
通过定时器T1计时,由T1产生溢出率,作为波特率发生器。

  • 两个数据缓冲器,SBUF。分别是发送数据的发送寄存器,读取数据的接收寄存器。
    串口写入时,写入的是发送寄存器,即数据向发送寄存器SBUF写入。
    向串口读时,读出的是接收寄存器,即数据由接收寄存器SBUF读出。

  • 定时器1产生波特率, 串口一般使用定时器1,模式2,八位自动重装模式,来产生溢出率,从而产生波特率。而且在配置定时器相关的寄存器时不用配置定时器中断,只是使用定时器1来产生波特率的功能。

  • 移位寄存器,在接受控制器的控制下,将输入的数据逐位移入接收SBUF。

  • 串行控制寄存器SCON,SCON的功能是控制串行通信口的工作方式以及工作的状态。

输入 / 输出数据缓冲器都叫做 SBUF , 都用 99H 地址码,但是是两个独立的 8 位寄存器

代码体现为:

  • 想要接收数据 char data = SBUF(将缓存器的值读取到data,即单片机读取SBUF的数据存入到data中)
  • 想要发送数据 SBUF = data (将data的值写入缓存器,即单片机发送data到SBUF中

再次回忆UART的概念,”由于单片机和电脑,不同设备的频率和配置不同,因此CPU运行速度不同,所以各自使用各自的时钟来相互配合。”  所以双方需要约定通讯速度,这个速度就叫做波特率,对于电脑来说,别人做好了软件,鼠标点点就能配置好,而单片机的波特率则需要自己写代码。

对于电脑来说,需要点击配置的东西是:

 所以在代码中,我们也需要配置波特率校验位停止位。那在代码中的这些如何进行设置?答案还是,和设置定时器模式一样,要操控寄存器。 而且,也和定时器一样,代码也可以从stc-isp助手里面得到: 注意!这里的波特率设置应该和之前电脑设置的波特率

 串行通信口的控制寄存器

1 串行控制寄存器SCON

SCON寄存器用来控制串行通信的工作方式及反映串行口的工作状态。SCON的地址是98H,可位寻址。如下图所示。

通过配置SCON寄存器来对串行通信的工作模式进行控制。
说说几个常用的位

  • SM0和SM1,组合确定串行口的模式,不同的模式下波特率不同,功能也不同,下面会详细介绍不同模式下的波特率该如何计算
  • REN,允许/禁止串行接收控制位。 由软件置位REN,即REN=1为允许串行接收状态,可启动串行口接收数据。
  • TI,发送中断请求标志位。主机通过串行口发送数据发送完成后,TI会置1,向串口申请中断,如果TI为1 就表示SUBF的数据已经发送完成了,要手动将TI位清零。(即SBUF=Data)
  • RI,接收中断请求标志位。从机接收到主机通过串行口接收到的数据后,RI会置1,即会串口申请中断,如果RI为1 就表示数据已经通过SBUF读取完成了,要手动将RI位清零。(即Data=SBUF)

 2 电源控制寄存器PCON

这里我们只需要知道SMOD是啥就可以了。

  • SMOD位,就是波特率是否加倍,若SMOD=0,波特率不变。SMOD=1,波特率加倍SMOD默认为0。

3 配置寄存器

配置SCON寄存器

 

 上图就是SCON寄存器的位图,下面以串口通信的模式1来举例。
模式1,那么SM0和SM1就要配置成 0 1,注意这里SM0和SM1决定串口通信的模式
REN,可置1,置1就是允许串行通信口接收数据,当不需要接收数据的时候可以置0。
后面的几位直接不看,一般用不到
TI和RI,也默认为0,当接收数据或发送数据的时候,会由硬件置1,继而触发中断,这时要由软件置1。
这样SCON寄存器就配置好了。


这样我的SCON配置如下

SCON=0x40;   //方式1   REN置0 禁止数据接收的控制位  0100 0000
SCON=0x50;   //方式1   REN置1 允许数据接收的控制位  0101 0000
 配置PCON寄存器

我们只需要明确一点,我的波特率是否需要加倍,如果加倍,那么PCON的第一位SMOD就要置
这样我的PCON就配置好了,如加倍(不倍速可以不写)

PCON &= 0x8F;  //波特率加倍

1.代码实现单片机向电脑发送一个字符

#include "reg52.h"
#include "intrins.h" //这个库加了,delay函数里面的nop()才不会报错

sbit led1 = P3^7;//根据原理图(电路图),设备变量led1指向P3组IO口的第7口
sbit led2 = P3^6;//根据原理图(电路图),设备变量led2指向P3组IO口的第6口

sfr AUXR = 0x8E;//配置了这句话,才可以在UART的初始化里写AUXR寄存器,原因见STC89系列的手册


void Delay1000ms()		//@11.0592MHz
{
	unsigned char i, j, k;

	_nop_();
	i = 8;
	j = 1;
	k = 243;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}


void UartInit()		//9600bps@11.0592MHz
{
	PCON &= 0x7F;		//波特率不倍速
	SCON = 0x50;		//8位数据,可变波特率   0101 0000
	AUXR &= 0xBF;		//定时器1时钟12T模式
	AUXR &= 0xFE;		//串口1选择定时器1为波特率发生器
	TMOD &= 0x0F;		//设置定时器1模式
	TMOD |= 0x20;		//设置定时器1为8位自动重装方式
	TL1 = 0xFD;			//设置定时初始值
	TH1 = 0xFD;			//设置定时重载值
	ET1 = 0;			//禁止定时器1中断
	TR1 = 1;			//启动定时器1
}


void main()
{
	char data_msg = 'a';
	
	//配置C51串口通信发送方式
	UartInit();
	
	while(1){
		Delay1000ms();
		//往发送缓冲器写入数据,就完成数据的发送
		SBUF = data_msg;
	}	
	
}

实现效果1 

2.代码实现单片机向电脑发送字符串

SCON寄存器中,BIT1为TI:即,每发送一个BYTE(一个char变量的大小),TI就会被硬件置1,根据这个,我们可以编写使串口打印字符串:

#include "reg52.h"

sbit led1 = P3^7;//根据原理图(电路图),设备变量led1指向P3组IO口的第7口
sbit led2 = P3^6;//根据原理图(电路图),设备变量led2指向P3组IO口的第6口



/*
void UartInit(void)		//9600bps@11.0592MHz
{
	PCON &= 0x7F;		//波特率不倍速 SMOD在PCON里
	SCON = 0x50;		//8位数据,可变波特率
	AUXR &= 0xBF;		//定时器时钟12T模式
	AUXR &= 0xFE;		//串口1选择定时器1为波特率发生器
	TMOD &= 0x0F;		//设置定时器模式
	TMOD |= 0x20;		//设置定时器模式
	TL1 = 0xFD;			//设置定时初始值
	TH1 = 0xFD;			//设置定时重载值
	ET1 = 0;			//禁止定时器中断
	TR1 = 1;			//定时器1开始计时
}
*/

void Delay1000ms()		//@11.0592MHz
{
	unsigned char i, j, k;

	i = 8;
	j = 1;
	k = 243;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}




void UartInit()		//9600bps@11.0592MHz
{
	SCON = 0x40;  //配置串口工作方式1,REN不使能接收
	
	//配置定时器1,工作方式为8位自动重载
	TMOD &= 0x0f;//
	TMOD |= 0x20;
	
	TH1 = 0xFD; 
	TL1 = 0xFD; //9600波特率的初值
	
	TR1 = 1;//启动定时器
	
	
}

void Send_byte(char data_msg)
{
	SBUF = data_msg;//往发送缓冲器里写入数据,就完成了数据的发送

	while(!TI);//在请求中断时,TI= 1,既!TI=0,等待数据的发送完成;响应中断结束后TI = 0,既!TI = 1,将TI清零
	TI = 0;

}

void Send_string(char* str)
{
	while(*str != '\0')
	{
		Send_byte(*str);
		str++;
	}
}

void main()
{
	char data_msg = 'a';
	
	//配置C51串口通信发送方式
	UartInit();
	
	while(1){
		Delay1000ms();
		//往发送缓冲器写入数据,就完成数据的发送

		Send_string("wdnmd\t");
	}	
	
}

效果实现

3.代码实现使用串口点亮单片机LED

SCON寄存器中,BIT0为RI:即收到数据后,RI位会由硬件置1

我们需要如何接收数据呢?

设置阻塞检测接收的数据?此方法会影响程序的进程,一般不使用

还是中断?

查询手册可知,使用中断的方式接收指令可以使得响应没有延时

所以,打开UART中断需要打开ES和EA

下方代码块为中断服务子函数模块

// 串口中断函数模板
void Uart_Routine() interrupt 4
{
	if(RI==1)  
	{
		RI=0;
	}
	if(TI==1)
	{
	TI=0;
	}
}

 

#include "reg52.h"

sbit D5 = P3^7;//根据原理图(电路图),设备变量led1指向P3组IO口的第7口
sbit led2 = P3^6;//根据原理图(电路图),设备变量led2指向P3组IO口的第6口



/*
void UartInit(void)		//9600bps@11.0592MHz
{
	PCON &= 0x7F;		//波特率不倍速 SMOD在PCON里
	SCON = 0x50;		//8位数据,可变波特率
	AUXR &= 0xBF;		//定时器时钟12T模式
	AUXR &= 0xFE;		//串口1选择定时器1为波特率发生器
	TMOD &= 0x0F;		//设置定时器模式
	TMOD |= 0x20;		//设置定时器模式
	TL1 = 0xFD;			//设置定时初始值
	TH1 = 0xFD;			//设置定时重载值
	ET1 = 0;			//禁止定时器中断
	TR1 = 1;			//定时器1开始计时
}
*/

void Delay1000ms()		//@11.0592MHz
{
	unsigned char i, j, k;

	i = 8;
	j = 1;
	k = 243;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}




void UartInit()		//9600bps@11.0592MHz
{
	SCON = 0x50;  //配置串口工作方式1,REN使能接收
	
	//配置定时器1,工作方式为8位自动重载
	TMOD &= 0x0f;//
	TMOD |= 0x20;
	
	TH1 = 0xFD; 
	TL1 = 0xFD; //9600波特率的初值
	
	TR1 = 1;//启动定时器
	
	
}

void Send_byte(char data_msg)
{
	SBUF = data_msg;
	while(!TI);//在请求中断时,TI= 1,既!TI=0,等待数据的发送完成;响应中断结束后TI = 0,既!TI = 1,将TI清零
	TI = 0;

}

void Send_string(char* str)
{
	while(*str != '\0')
	{
		Send_byte(*str);
		str++;
	}
}

void main()
{
	char data_msg = 'a';
	char cmd;
	
	D5 = 1;
	//配置C51串口通信发送方式
	UartInit();
	
	while(1){
		Delay1000ms();
		//往发送缓冲器写入数据,就完成数据的发送

		Send_string("wdnmd\t");
		//怎么知道收到数据,查询RI的值,如果RT是1(收到数据后由硬件置一)
        //非中断触发
		/*if(RI == 1) //RI = 1时数据接收完毕
		{
			RI = 0;//软件复位
			cmd = SBUF; //从SBUF里面读发来的数据
			if(cmd == 'o')
			{
				D5 = 0;//点亮D5
			}
			if(cmd == 'c')
			{
				D5 = 1;//熄灭D5
			}
			
		}*/
	}	
	
}


//中断触发
void Uart_Handler() interrupt 4
{
	if(RI)//中断处理函数中,对于接收中断的响应
	{
		RI = 0;//清除接收中断标志位
		cmd = SBUF;
		if(cmd == '1')
		{
			D5 = 0;//点亮D5
		}
		if(cmd == '0')
		{
			D5 = 1;//熄灭D5
		}
	}
	if(TI)
	{
		
	}
}

这次试验就很好的体现了串口的"全双工“,即可以不断同时的发送和接收数据。

同时注意,在”发送缓冲区”中选择文本模式后要注意,在文本模式下输入的任何东西都会被认为字符,如果输入1,则为字符1,对应ASCII码中是数字49!!!

4.代码实现使用串口点亮单片机LED

以上的代码实现的是接收字符来点亮LED,如果是想要使用字符串来控制点亮LED,需要修改代码:

#include "reg52.h"
#include <string.h>

#define SIZE 12
sbit D5 = P3^7;//根据原理图(电路图),设备变量led1指向P3组IO口的第7口
sbit led2 = P3^6;//根据原理图(电路图),设备变量led2指向P3组IO口的第6口

char cmd[12];



/*
void UartInit(void)		//9600bps@11.0592MHz
{
	PCON &= 0x7F;		//波特率不倍速 SMOD在PCON里
	SCON = 0x50;		//8位数据,可变波特率
	AUXR &= 0xBF;		//定时器时钟12T模式
	AUXR &= 0xFE;		//串口1选择定时器1为波特率发生器
	TMOD &= 0x0F;		//设置定时器模式
	TMOD |= 0x20;		//设置定时器模式
	TL1 = 0xFD;			//设置定时初始值
	TH1 = 0xFD;			//设置定时重载值
	ET1 = 0;			//禁止定时器中断
	TR1 = 1;			//定时器1开始计时
}
*/

void Delay1000ms()		//@11.0592MHz
{
	unsigned char i, j, k;

	i = 8;
	j = 1;
	k = 243;
	do
	{
		do
		{
			while (--k);
		} while (--j);
	} while (--i);
}




void UartInit()		//9600bps@11.0592MHz
{
	SCON = 0x50;  //配置串口工作方式1,REN使能接收
	
	//配置定时器1,工作方式为8位自动重载
	TMOD &= 0x0f;//
	TMOD |= 0x20;
	
	TH1 = 0xFD; 
	TL1 = 0xFD; //9600波特率的初值
	
	TR1 = 1;//启动定时器
	ES  = 1;//开启串口中断
	EA  = 1;//开启总中断
	
	
}



//TI 发送请求中断标志位,是指单片机向电脑发送
//RI 接收请求中断标志位,是指单片机接收电脑的消息


void Send_byte(char data_msg)
{
	SBUF = data_msg;
	while(!TI);//在请求中断时,TI= 1,既!TI=0,等待数据的发送完成;响应中断结束后TI = 0,既!TI = 1,将TI清零
	TI = 0;

}

void Send_string(char* str)
{
	while(*str != '\0')
	{
		Send_byte(*str);
		str++;
	}
}

void main()
{
	char data_msg = 'a';

	
	D5 = 1;
	//配置C51串口通信发送方式
	UartInit();
	
	while(1){
		Delay1000ms();
		//往发送缓冲器写入数据,就完成数据的发送

		Send_string("wdnmd\t");
		//怎么知道收到数据,查询RI的值,如果RT是1(收到数据后由硬件置一)
	}	
	
}

void Uart_Handler() interrupt 4
{
	static int i = 0;//静态变量,被初始化一次 避免每次发生中断i都会清0

	if(RI)//中断处理函数中,对于接收中断的响应  //如果是RI引起的中断
	{
		RI = 0;//清除接收中断标志位,软件复位
		cmd[i] = SBUF;
		i++;
		if(i == SIZE)
		{
			i = 0;
		}
		if(strstr(cmd,"open\r\n"))
		{
			D5 = 0;//点亮D5
			i = 0;
			memset(cmd,'\0',SIZE);
		}
		if(strstr(cmd,"close\r\n"))
		{
			D5 = 1;//熄灭D5
			i = 0;
			memset(cmd,'\0',SIZE);
		}
	}
	if(TI)
	{
		
	}
}

如此一来,在串口中输入"open“加上换行键再按下发送数据就可以点灯;同样输入”close"加上换行键再按下发送数据就可以关灯。

使用蓝牙模块HC08进行串口通讯

注意!蓝牙的RXD要和串口的TXD相连,同理,蓝牙的TXD要和串口的RXD相连。使用上左图的蓝牙模块(内置波特率9600,正好可以对应)再使用右图扫码小程序,搜索“HC08"即可连接成功!

注意!在串口中,是检测换行符结束字符串的

'\r’是回车,前者使光标到行首
'\n’是换行,后者使光标下移一格

Unix系统里,每行结尾只有“<换行>”,即“\n”;
Windows系统里面,每行结尾是“<回车><换行>”,即“\r\n”;
Mac系统里,每行结尾是“<回车>”,即“\r


以下来自ChatGPT的解答:

串口通信中,有时需要在发送的数据后面加上\r\n(回车换行符),这主要是为了满足接收端或显示设备的特定要求,确保数据能够被正确解析和显示。

具体来说,\r(回车符)的作用是使光标移动到当前行的最前面,而\n(换行符)的作用则是将光标移动到下一行的当前位置。因此,\r\n组合起来,可以实现光标跳到下一行的开始位置,从而实现数据的换行显示。

在串口通信中,如果接收端或显示设备需要按照特定的格式来解析和显示数据,那么就需要在发送的数据后面加上相应的控制符。例如,在某些情况下,接收端可能期望每接收完一行数据后进行一些处理,或者显示设备可能需要在每行数据的末尾换行以显示新的数据。在这些情况下,就需要在发送的数据后面加上\r\n来实现换行。

需要注意的是,不是所有的串口通信都需要加\r\n。是否添加以及添加的方式(是\r\n、\n还是其他控制符)取决于具体的通信协议和接收端或显示设备的要求。因此,在进行串口通信时,需要根据实际情况来确定是否需要添加\r\n以及其他控制符。

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1575458.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Successive Convex Approximation算法的学习笔记

文章目录 一、代码debug二、原理 本文主要参考了CSDN上的 另一篇文章&#xff0c;但规范了公式的推导过程和修缮了部分代码 一、代码debug 首先&#xff0c;我们将所有的代码放到MATLAB中&#xff0c;很快在命令行中出现了错误信息 很显然有问题&#xff0c;但是我不知道发生…

剪映国际版 v3.7 来了,全功能纯净,附400套离线模板下载

剪映国际版 v3.7 来了&#xff0c;全功能纯净&#xff0c;附400套离线模板下载 相比国内版 国际版不仅没有广告&#xff0c;所有素材和功能都是免费使用的。 CapCut是剪映的国际版本,操作和各种功能几乎和剪映一模一样&#xff0c; 是一款免费无限制使用的视频剪辑软件,软件…

极客时间: 用 Word2Vec, LangChain, Gemma 模拟全本地检索增强生成(RAG)

每周跟踪AI热点新闻动向和震撼发展 想要探索生成式人工智能的前沿进展吗&#xff1f;订阅我们的简报&#xff0c;深入解析最新的技术突破、实际应用案例和未来的趋势。与全球数同行一同&#xff0c;从行业内部的深度分析和实用指南中受益。不要错过这个机会&#xff0c;成为AI领…

Linux中安装nacos

Linux中安装nacos 一、前言二、准备1、下载2、上传到服务器3、解压 三、配置1、备份配置文件2、导入sql3、修改前4、修改后 四、使用1、启动2、关闭 一、前言 最近国外的docker似乎是出什么问题&#xff0c;试过很多手段都拉不下 nacos 镜像&#xff0c;就打算在服务器装一下 …

二. CUDA编程入门-双线性插值计算

目录 前言0. 简述1. 执行一下我们的第十个CUDA程序2. Bilinear interpolation3. 代码分析总结参考 前言 自动驾驶之心推出的 《CUDA与TensorRT部署实战课程》&#xff0c;链接。记录下个人学习笔记&#xff0c;仅供自己参考 Note&#xff1a;关于 CUDA 加速双线程插值的内容博主…

golang slice总结

目录 概述 一、什么是slice 二、slice的声明 三、slice的初始化、创建 make方式创建 创建一个包含指定长度的切片 创建一个指定长度和容量的切片 创建一个空切片 创建一个长度和容量都为 0 的切片 new方式创建 短声明初始化切片 通过一个数组来创建切片 声明一个 …

Coursera上托福专项课程01:TOEFL Reading and Listening Sections Skills Mastery 学习笔记

TOEFL Reading and Listening Sections Skills Mastery Course Certificate 本文是学习 https://www.coursera.org/learn/toefl-reading-listening-sections-skills-mastery 这门课的笔记&#xff0c;如有侵权&#xff0c;请联系删除。 文章目录 TOEFL Reading and Listening …

【微服务】面试题(一)

最近进行了一些面试&#xff0c;这几个问题分享给大家 一、分别介绍一下微服务、分布式以及两者的区别 微服务&#xff08;Microservices&#xff09;和分布式系统&#xff08;Distributed Systems&#xff09;是两种不同的软件架构风格&#xff0c;虽然它们之间有些重叠&#…

SV学习笔记(五)

文章目录 线程的使用程序和模块什么是线程线程的概念澄清 线程的控制fork并行线程语句块fork…joinfork…join_any等待所有衍生线程停止单个线程停止多个线程停止被多次调用的任务 线程的通信写在前面event事件通知的需求semaphore旗语mailbox信箱三种通信的比较和应用 参考资料…

探索数据结构:特殊的双向队列

✨✨ 欢迎大家来到贝蒂大讲堂✨✨ &#x1f388;&#x1f388;养成好习惯&#xff0c;先赞后看哦~&#x1f388;&#x1f388; 所属专栏&#xff1a;数据结构与算法 贝蒂的主页&#xff1a;Betty’s blog 1. 双向队列的定义 **双向队列(double‑ended queue)**是一种特殊的队列…

[羊城杯 2020]Easyphp2 ---不会编程的崽

摆烂一周了&#xff0c;继续更&#xff01;&#xff01;题目还是简单哦。 提示明显要我们修改数据包&#xff0c;第一反应是修改referer。试了一下不太对。url很可能存在文件包含 使用伪协议读取一下源码吧。它过滤了base64关键字。尝试url编码绕过&#xff0c;这里可以使用二…

实景三维技术在推进城市全域数字化转型的作用

4月2日&#xff0c;国家数据局发布《深化智慧城市发展推进城市全域数字化转型的指导意见&#xff08;征求意见稿&#xff09;》&#xff08;下称&#xff1a;《指导意见》&#xff09;&#xff0c;向社会公开征求意见。 《指导意见》作为推进城市数字化转型的重要文件&#xf…

11、子串-滑动窗口最大值

题解&#xff1a; 双端队列是一种特殊的队列&#xff0c;允许你在队列的两端进行插入和删除操作。在滑动窗口问题中&#xff0c;我们使用它来存储可能是当前窗口最大值的元素的索引。 维护队列的顺序&#xff1a; 当新元素进入窗口时&#xff0c;我们将它与队列尾部的元素进…

echarts 毕节区县地图 包含百管委、高新区 (手扣)

百度网盘 链接&#xff1a;https://pan.baidu.com/s/14yiReP8HT_bNCGMOBajexg 提取码&#xff1a;isqi

MQ简介和面试题

一&#xff0c;什么是MQ MQ全称是Mwessage Queue(消息队列)&#xff0c;是在消息传输过程中保存消息的容器&#xff0c;多用于分布式系统之间进行通信&#xff0c;解耦和低耦合性 二&#xff0c;常见的MQ产品 RebbitMQ,RocketMQ, ActiveMQ, Kafka, ZeroMQ, MetaMQ 其中我们…

(学习日记)2024.04.06:UCOSIII第三十四节:互斥量函数接口讲解

写在前面&#xff1a; 由于时间的不足与学习的碎片化&#xff0c;写博客变得有些奢侈。 但是对于记录学习&#xff08;忘了以后能快速复习&#xff09;的渴望一天天变得强烈。 既然如此 不如以天为单位&#xff0c;以时间为顺序&#xff0c;仅仅将博客当做一个知识学习的目录&a…

STM32CubeMX+MDK通过I2S接口进行音频输入输出(全双工读写一个DMA回调)

一、前言 目前有一个关于通过STM32F411CEUx的I2S总线接口控制SSS1700芯片进行音频输入输出的研究。 SSS1700 是具有片上振荡器的 3S 高度集成的USB音频控制器芯片 。 SSS1700 功能支持96 KHz 24 位采样率&#xff0c;带外部音频编解码器&#xff08;24 位/96KHz I2S 输入和输出…

工具推荐-针对Nacos利器-NacosExploitGUI_v4.0

Nacos是由阿里所开发的一个更易于构建云原生应用的动态服务发现、配置管理和服务管理平台。 工具简介 集成Nacos的各种poc Nacos控制台默认口令漏洞(nacos,nacos)Nacostoken.secret.key默认配置(QVD-2023-6271)Nacos-clientYaml反序列化漏洞Nacos Jraft Hessian反序列化漏洞…

【Hadoop技术框架-MapReduce和Yarn的详细描述和部署】

前言&#xff1a; &#x1f49e;&#x1f49e;大家好&#xff0c;我是书生♡&#xff0c;今天的内容主要是Hadoop的后两个组件&#xff1a;MapReduce和yarn的相关内容。同时还有Hadoop的完整流程。希望对大家有所帮助。感谢大家关注点赞。 &#x1f49e;&#x1f49e;前路漫漫&…

使用GDAL进行简单的坐标系转换

使用GDAL进行简单的坐标系转换 使用python GDAL进行简单的坐标系转换&#xff0c;暂时不考虑不同基准坐标系转换的精度问题。 安装环境 使用UbuntuAnaconda python 环境 conda install gdal 定义坐标系 from osgeo import gdal from osgeo import osrsrs_wgs84 osr.Spati…