使用U盘重装CentOS7系统

news2024/9/20 7:51:53

下载CentOS7 系统镜像

制作启动U盘之前,首先要准备一个系统镜像,这里我在CentOS官网直接下载镜像文件:
CentOS官网
为了保证下载速度,这里我使用阿里云镜像下载:
阿里云镜像
在这里插入图片描述
如上图所示,我需要一个带UI界面的CentOS系统,所以我选择了DVD版本的ISO文件,将文件下载到本地之后就可以开始制作启动U盘了。

使用 UltraISO制作启动盘

首先要准备一个小容量的U盘,一般16G甚至8G的小U盘就够用。
这一步我习惯用UltraISO来制作启动盘,首先去官网下载:UltraISO,下载之后直接安装,一直点击下一步就可以完成。
安装完成之后打开软件,找到我们的镜像,双击。
在这里插入图片描述
步骤:启动-------->写入硬盘映像…,然后跳出弹框,点击“写入”。开始制作制作U盘。注意:U盘有重要文件的一定要备份,他会先格式化掉你的硬盘。写入的过程在五分钟左右,中间不需要任何操作。

开始重装系统

把刚制作好的启动U盘插在服务器上,启动服务器,在服务器开机时按F11进行入BIOS设置页面(我这里使用的是宝德的服务器)。

注意:

进入BIOS设置之后可以看到有多个启动选项可以选择,可以从中找到我刚插上的启动U盘,这里和U盘相关的启动项可能有两个,其中一个是UEFI启动选项,这里我们要选择另一个非UEFI的U盘启动项,否则在后面进行系统磁盘分区设置的时候会出现格式错误

在选择U盘启动之后,我们会看到一下页面:
在这里插入图片描述
这里选择直接安装Install CentOS 7,在安装过程中,可能会遇到下面的错误:
在这里插入图片描述
出现上面的异常,原因是U盘的盘符与U盘的配置文件中的不同,导致无法找到U盘,这时我们可以手动指定盘符,首先查询当前系统重所有已挂载的文件系统:

dracut://#blkid
/dev/sda1:SEC_TYPE=”msdos” UUID=“....../dev/sda2:UUID=“....../dev/sda3:UUID=“....../dev/sdb4:LABEL=“Centos 7 x8”UUID=“......

在所有挂在的文件系统中,最后一个有CentOS7字眼,说明/dev/sdb4盘符就是我的启动U盘。
输入reboot命令重新启动服务器,在进入下面这个页面时,按“e”键进入配置页面,手动指定启动盘符:
在这里插入图片描述
将 linuxefi /images/pxeboot/vmlinuz inst.stage2=hd:LABEL=CentOS\x207\x20x86_64 quiet
改为:linuxefi /images/pxeboot/vmlinuz inst.stage2=hd:/dev/sdb4 quiet (注:前面查询的U盘盘符)

按“ctrl +x”,执行进系统。

接下来就进入了CentOS7系统配置的部分,这部分内容可以根据个人需要进行配置,网上其他教程很多,需要时可自行搜索。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1546692.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【微服务】Gateway

文章目录 1.基本介绍官方文档:https://springdoc.cn/spring-cloud-gateway/#gateway-starter1.引出网关2.使用网关服务架构图3.Gateway网络拓扑图(背下来)4.Gateway特性5.Gateway核心组件1.基本介绍2.断言3.过滤 6.Gateway工作机制 2.搭建Gat…

Linux环境基础开发工具使用——yum and vim

本篇将会介绍平时在Linux中开发常用到的一些工具,其中包括:软件包管理器 — yum,Liunx中的开发工具。具体的介绍了 yum 的相关操作以及 yum 源,同时还介绍了 windows与虚拟机如何进行的关联。然后对Liunx中的开发工具进行了详细的…

agent利用知识来做规划:《KnowAgent: Knowledge-Augmented Planning for LLM-Based Agents》笔记

文章目录 简介KnowAgent思路准备知识Action Knowledge的定义Planning Path Generation with Action KnowledgePlanning Path Refinement via Knowledgeable Self-LearningKnowAgent的实验结果 总结参考资料 简介 《KnowAgent: Knowledge-Augmented Planning for LLM-Based Age…

摆扫式(whisk broom)和推扫式(push broom)卫星传感器介绍

目前,我们卫星传感器主要有两大类型:摆扫式(whisk broom)和推扫式(push broom)。为了更好的理解和使用卫星影像数据,我们需要简单了解下这两种传感器工作原理。 摆扫式:Whisk Broom…

zabbix进阶

知识点补充 zabbix server在主机上运行服务,端口号为10050,zabbix agent 在被监控机器上运行(源码下载)主要完成对cpu,磁盘的信息采集,端口号为10051 zabbix 软件结构组成: 1.Zabbix Web GUI …

城市内涝水文水动力模型:慧天【HTWATER】

查看详情>>> 城市内涝水文水动力模型:慧天【HTWATER】 【城市内涝水文水动力模型介绍】 慧天排水数字化分析平台针对城市排水系统基础设施数据管理的需求,以及水文、水力及水质模拟对数据的需求,实现了以数据库方式对相应数据的存…

兼职副业大揭秘:六个潜力满满的赚钱途径

亲爱的朋友,你对兼职副业充满好奇与期待,这非常好!在此,我将为你分享一些能够助你赚取额外收入的兼职副业建议。以下是六个颇具潜力的兼职副业方向,希望能为你的探索之路提供些许启发。 1,网络调查与市场洞…

C++ 动态规划

文章目录 一、简介二、举个栗子2.1斐波那契数列2.2最短路径(DFS) 参考资料 一、简介 感觉动态规划非常的实用,因此这里整理一下相关资料。动态规划(Dynamic Programming):简称 DP,是一种优化算法…

后端常问面经之Java基础

基本数据类型 Java中有8种基本数据类型: 6种数字类型: 4种整数型:byte、short、int、long 2种浮点型:float、double 1种字符类型:char 1种布尔类型:boolean 数据类型的默认值以及所占空间如下&#x…

C++ STL - 优先级队列及其模拟实现

目录 0. 引言 1. priority_queue 介绍 1.1 构造函数 1.2 priority_queue 接口函数使用 1.3 仿函数 1.4 题目练习 2. priority_queue 模拟实现 2.1基本框架: 2.2 默认构造函数 2.3 基本函数 2.4 堆的向上以及向下调整 0. 引言 优先队列 (priority_queu…

Flask python :logging日志功能使用

logging日志的使用 一、了解flask日志1.1、Loggers记录器1.2、Handlers 处理器1.3、Formatters 格式化器 二、使用日志2.1、官网上的一个简单的示例2.2、基本配置2.3、具体使用示例2.4、运行 三、写在最后 一、了解flask日志 日志是一种非常重要的工具,可以帮助开发…

[技术杂谈]解决windows上出现文件名太长错误

最近执行python setup.py install总是失败,提示文件名太长发现网上有取消限制文件名长度,测试发现改完注册表无需重启cmd就生效了。但是有时候会失败,现在方法放这。 转到Windows“开始”,然后键入REGEDIT。选择注册表编辑器 选…

Linux内核中的进程调度-进程调度基础

前言 一、进程的概念 1.概述 2.ps和top命令 3.总结 二、进程的生命周期 1.进程状态文字描述 2.进程状态程序中的体现 3.进程状态的切换 三、task_struct数据结构简述 1.数据结构成员简述 2.需要注意的成员: 3.进程优先级 ①、优先级的代码表示 ②、Linux内核下的进…

蓝桥杯2023真题-幸运数字

目录 进制转换: 思路 代码 题目链接: 0幸运数字 - 蓝桥云课 (lanqiao.cn) 本题就考的进制转换问题,要将十进制5转换成二进制,通过%2,和/2的交替使用即可完成,所得余数就是转换成的二进制各位的值,转换…

浅谈如何自我实现一个消息队列服务器(3)—— 细节分析

文章目录 2.2 消息存储在文件时涉及到的流对象2.3 序列化、反序列化的方法2.3.1 JSON的ObjectMapper2.3.2 ObjectOutputStream 、 ObjectInputStream2.3.3 第三方库的Hessian2.3.4 protobuffer2.3.5 thrift 2.4 使用类MessageFileManager封装文件存储操作2.4.1 sendMessage()实…

ubuntu20.04云服务器安装LXDE轻量级桌面和XRDP远程连接工具

云服务器一般都是安装命令行系统,用SSH连接,但是有时我们需要桌面来做更好的管理。 首先我们明确一下需要的东西。 一个桌面系统:LXDE(最轻量级桌面),为了节省资源,我们只要功能够用就行。一个…

[套路] 浏览器引入Vue.js场景-WangEditor富文本编辑器的使用 (永久免费)

系列文章目录 [套路] el-table 多选属性实现单选效果[套路] 基于服务内存实现的中文拼音混合查询[套路] Bypass滑块验证码 目录 系列文章目录前言一、实现1.1 场景1.2 Window对象简介1.3 引入WangEditor1.4 页面配置 前言 公司使用freemarker的老旧SpringBootWeb后台项目, 前…

【蓝桥杯】填空题技巧|巧用编译器|用Python处理大数和字符|心算手数|思维题

目录 一、填空题 1.巧用编译器 2.巧用Excel 3. 用Python处理大数 4.用Python处理字符 5.心算手数 二、思维题 推荐 前些天发现了一个巨牛的人工智能学习网站,通俗易懂,风趣幽默,忍不住分享一下给大家。【点击跳转到网站】 一、填空题 …

Python编程入门:环境搭建与基础语法

目录 1. 引言 2. Python环境搭建 3. Python基础语法 3.1. 变量与数据类型 3.2. 运算符与表达式 3.3. 控制结构:条件语句与循环 3.4. 函数定义与使用 3.5. 输入与输出 3.6. 列表操作 4. 总结 1. 引言 Python作为一种简洁易学、功能强大的编程语言&#xff…

hadoop伪分布式环境启动时web端访问不到

在搭建hadoop伪分布式环境时,开启hdfs-site.sh后,web端访问不到,但是节点已经正常开启: 在尝试关闭防火墙后也没有效果,后来在/etc/hosts文件中加入本机的ip和主机名映射后,重新初始化namenode,…