aurora仿真使用等

news2024/9/30 5:40:46

IP设置

代码

aurora_8b10b aurora_8b10b_inst (
  /**********************************************************************************///axi_stream tx
  .s_axi_tx_tdata(s_axi_tx_tdata),                  // input wire [0 : 31] s_axi_tx_tdata
  .s_axi_tx_tkeep(s_axi_tx_tkeep),                  // input wire [0 : 3] s_axi_tx_tkeep
  .s_axi_tx_tlast(s_axi_tx_tlast),                  // input wire s_axi_tx_tlast
  .s_axi_tx_tvalid(s_axi_tx_tvalid),                // input wire s_axi_tx_tvalid
  .s_axi_tx_tready(s_axi_tx_tready),                // output wire s_axi_tx_tready
/**********************************************************************************///ufc no use tx frame


  .s_axi_ufc_tx_tvalid(s_axi_ufc_tx_tvalid),        // input wire s_axi_ufc_tx_tvalid
  .s_axi_ufc_tx_tdata(s_axi_ufc_tx_tdata),          // input wire [0 : 2] s_axi_ufc_tx_tdata
  .s_axi_ufc_tx_tready(s_axi_ufc_tx_tready),        // output wire s_axi_ufc_tx_tready
/**********************************************************************************///axi_stream rx


  .m_axi_rx_tdata(m_axi_rx_tdata),                  // output wire [0 : 31] m_axi_rx_tdata
  .m_axi_rx_tkeep(m_axi_rx_tkeep),                  // output wire [0 : 3] m_axi_rx_tkeep
  .m_axi_rx_tlast(m_axi_rx_tlast),                  // output wire m_axi_rx_tlast
  .m_axi_rx_tvalid(m_axi_rx_tvalid),                // output wire m_axi_rx_tvalid
/**********************************************************************************///ufc no use rx frame


  .m_axi_ufc_rx_tdata(m_axi_ufc_rx_tdata),          // output wire [0 : 31] m_axi_ufc_rx_tdata
  .m_axi_ufc_rx_tkeep(m_axi_ufc_rx_tkeep),          // output wire [0 : 3] m_axi_ufc_rx_tkeep
  .m_axi_ufc_rx_tlast(m_axi_ufc_rx_tlast),          // output wire m_axi_ufc_rx_tlast
  .m_axi_ufc_rx_tvalid(m_axi_ufc_rx_tvalid),        // output wire m_axi_ufc_rx_tvalid
/**********************************************************************************///


  .hard_err(hard_err),                              // output wire hard_err
  .soft_err(soft_err),                              // output wire soft_err
  .frame_err(frame_err),                            // output wire frame_err
/**********************************************************************************///error


  .channel_up(channel_up),                          // output wire channel_up
  .lane_up(lane_up),                                // output wire [0 : 0] lane_up
  .txp(txp),                                        // output wire [0 : 0] txp
  .txn(txn),                                        // output wire [0 : 0] txn
/**********************************************************************************///reset 


  .reset(reset),                                    // input wire reset
  .gt_reset(gt_reset),                              // input wire gt_reset
/**********************************************************************************///


  .loopback(3'b000),                              // input wire [2 : 0] loopback
  .rxp(rxp),                                        // input wire [0 : 0] rxp
  .rxn(rxn),                                        // input wire [0 : 0] rxn
/**********************************************************************************///crc


  .crc_valid(crc_valid),                            // output wire crc_valid
  .crc_pass_fail_n(crc_pass_fail_n),                // output wire crc_pass_fail_n //1 is pass ,0 is fail
/**********************************************************************************///dynamic setup


  .drpclk_in(drpclk_in),                            // input wire drpclk_in
  .drpaddr_in(drpaddr_in),                          // input wire [8 : 0] drpaddr_in
  .drpen_in(1'b0),                              // input wire drpen_in
  .drpdi_in(drpdi_in),                              // input wire [15 : 0] drpdi_in
  .drprdy_out(drprdy_out),                          // output wire drprdy_out
  .drpdo_out(drpdo_out),                            // output wire [15 : 0] drpdo_out
  .drpwe_in(1'b0),                              // input wire drpwe_in
/**********************************************************************************///power down  mode


  .power_down(1'b0),                               // input wire power_down
/**********************************************************************************///



  .tx_lock(tx_lock),                                // output wire tx_lock
  .tx_resetdone_out(tx_resetdone_out),              // output wire tx_resetdone_out
  .rx_resetdone_out(rx_resetdone_out),              // output wire rx_resetdone_out
  .link_reset_out(link_reset_out),                  // output wire link_reset_out
/**********************************************************************************///initial clk signle


  .init_clk_in(init_clk_in),                        // input wire init_clk_in
/**********************************************************************************///user clk


  .user_clk_out(user_clk_out),                      // output wire user_clk_out
  .pll_not_locked_out(pll_not_locked_out),          // output wire pll_not_locked_out
/**********************************************************************************///high en


  .sys_reset_out(sys_reset_out),                    // output wire sys_reset_out
/**********************************************************************************///pin refclk
  .gt_refclk1_p(gt_refclk1_p),                      // input wire gt_refclk1_p
  .gt_refclk1_n(gt_refclk1_n),                      // input wire gt_refclk1_n

  .sync_clk_out(sync_clk_out),                      // output wire sync_clk_out
  .gt_reset_out(gt_reset_out),                      // output wire gt_reset_out
  .gt_refclk1_out(gt_refclk1_out),                  // output wire gt_refclk1_out
  .gt0_pll0refclklost_out(gt0_pll0refclklost_out),  // output wire gt0_pll0refclklost_out
  .quad1_common_lock_out(quad1_common_lock_out),    // output wire quad1_common_lock_out
  .gt0_pll0outclk_out(gt0_pll0outclk_out),          // output wire gt0_pll0outclk_out
  .gt0_pll1outclk_out(gt0_pll1outclk_out),          // output wire gt0_pll1outclk_out
  .gt0_pll0outrefclk_out(gt0_pll0outrefclk_out),    // output wire gt0_pll0outrefclk_out
  .gt0_pll1outrefclk_out(gt0_pll1outrefclk_out)    // output wire gt0_pll1outrefclk_out
);

channel up

lane up 

在仿真的时候大概需要700us才会有效,不然数据一直处于复位状态。

ufc在没帧之后有一个周期的发送,(设置为一周期4byte,发送32bit)

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1540878.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

C++|类封装、类的分文件编写练习:设计立方体类、点和圆的关系

文章目录 练习案例1:设计立方体类CPP代码 练习案例2:点和圆的关系CPP代码 代码总结类的分文件编写 练习案例1:设计立方体类 设计立方体类(Cube) 求出立方体的面积和体积 分别用全局函数和成员函数判断两个立方体是否相等。 CPP代码 class Cube { pub…

【数据结构】堆和树详解堆和二叉树的实现堆的top-k问题

主页:醋溜马桶圈-CSDN博客 专栏:数据结构_醋溜马桶圈的博客-CSDN博客 gitee:mnxcc (mnxcc) - Gitee.com 目录 1.树概念及结构 1.1 树的概念 2.2 树的相关概念 1.3 树的表示 1.4 树在实际中的运用 2.二叉树的概念及结构 2.1 二叉树的概念…

手撕算法-长度最小的子数组

描述 分析 滑动窗口。窗口内的和大于等于tatger时,记录此时的长度,并比较是不是最小长度。窗口左边界右移,直到窗口内的和小于tatger。窗口内的和小于tatger时,窗口右边界右移。 代码 class Solution {public int minSubArray…

基于python+vue分类信息服务平台移动端的设计与实现flask-django-php-nodejs

分类信息服务平台是在Android操作系统下的应用平台。为防止出现兼容性及稳定性问题,框架选择的是django,Android与后台服务端之间的数据存储主要通过MySQL。用户在使用应用时产生的数据通过 python等语言传递给数据库。通过此方式促进分类信息服务平台信…

牛客NC108 最大正方形【中等 动态规划 Java,Go,PHP】

题目 题目链接: https://www.nowcoder.com/practice/0058c4092cec44c2975e38223f10470e 思路 动态规划: 先初始化第一行和第一列。然后其他单元格依赖自己的上边,左边和左上角参考答案Java import java.util.*;public class Solution {/*** 代码中的类…

【Linux】调试器-gdb的使用说明(调试器的配置,指令说明,调试过程说明)

目录 00.背景 01.安装 02.生成调试信息 03.调试过程 00.背景 在软件开发中,通常会为程序构建两种不同的版本:Debug模式和Release模式。它们之间的区别主要在于优化级别、调试信息、错误检查等方面: 1.Debug 模式: 优化级别低…

阿里云ECS服务器u1通用算力型CPU性能如何?

阿里云服务器u1是通用算力型云服务器,CPU采用2.5 GHz主频的Intel(R) Xeon(R) Platinum处理器,通用算力型u1云服务器不适用于游戏和高频交易等需要极致性能的应用场景及对业务性能一致性有强诉求的应用场景(比如业务HA场景主备机需要性能一致)&#xff0c…

Gremlin查询语言用法示例

Gremlin 的基本用法 Gremlin Query Language 的基本用法主要包括构建图遍历的查询语句,这些语句由一系列的步骤组成,用于从图形中检索数据和修改数据。以下是一些基本用法的示例和解释: 选择所有顶点: 使用g.V()可以选择图中的所…

包叔推荐12代i3-独显组装电脑主机配置清单

去年Intel第十代i5-依然是主流热选机型。 今年,随着i3-的价格优势越来越大,已经成功取代了i5-。 今天包叔推荐几套12代i3-独立显卡组装电脑主机配置。 列表:一组核心显示配置,其余三组均为独立显示配置。 适合主机预算在2000元至3…

Spring Cloud Gateway教程

1 微服务网关概述 Spring Cloud Gateway是在 Spring 生态系统之上构建的API网关服务,旨在为微服务架构应用提供一种简单有效的统一的API路由管理方式。 Spring Cloud Gateway主要功能: 反向代理认证鉴权流量控制熔断日志监控 2 Spring Cloud Gateway三…

搭建一个简单的网络结构(Pytorch实现二分类)

搭建一个简单的网络结构(Pytorch实现二分类) 搭建一个神经网络并进行训练的话,大致需要分为三步: 第一步是数据的处理,将数据整理成输入网络结构中合适的格式第二步是网络的搭建,包括每层网络的结构和前向…

Neo4j桌面版导入CVS文件

之后会出来一个提示框,而且会跳出相关文件夹: 然后我们将CSV文件放在此目录下: 我们的relation.csv是这样的 参见: NEO4J的基本使用以及桌面版NEO4J Desktop导入CSV文件_neo4j desktop使用-CSDN博客

数学建模体育建模和经济建模国防科大版

目录 6.体育中的数学建模 7.经济学问题中的数学建模 7.1.实物交换模型 7.2.边际效应 7.3.最佳消费选择模型 6.体育中的数学建模 体育科学的研究中,也有大量的数学建模问题,例如:棒球的最佳击球点问题、滑板滑雪赛道的设计、越野自行车比…

基于springboot+vue的旅游推荐系统

博主主页:猫头鹰源码 博主简介:Java领域优质创作者、CSDN博客专家、阿里云专家博主、公司架构师、全网粉丝5万、专注Java技术领域和毕业设计项目实战,欢迎高校老师\讲师\同行交流合作 ​主要内容:毕业设计(Javaweb项目|小程序|Pyt…

全网最强JavaWeb笔记 | 万字长文爆肝JavaWeb开发——Web开发介绍

万字长文爆肝黑马程序员2023最新版JavaWeb教程。这套教程打破常规,不再局限于过时的老套JavaWeb技术,而是与时俱进,运用的都是企业中流行的前沿技术。笔者认真跟着这个教程,再一次认真学习一遍JavaWeb教程,温故而知新&…

利用免费 GPU 部署体验大型语言模型推理框架 vLLM

vLLM简介 vLLM 是一个快速且易于使用的 LLM(大型语言模型)推理和服务库。 vLLM 之所以快速,是因为: 最先进的服务吞吐量 通过 PagedAttention 高效管理注意力键和值内存 连续批处理传入请求 使用 CUDA/HIP 图快速模型执行 量…

输入N个整数,输出这个整数两两组合且不重复的所有二元组,要求从小到大输出并且用括号的形式。

输入描述: 第一行输入一个整数N&#xff0c;N<30。 第二行输入N个整数。 输出描述: 按题意输出。 输入样例#: 3 1 2 3 输出样例#: (1,2) (1,3) (2,1) (2,3) (3,1) (3,2) #include <stdio.h>void quicksort(int s[],int min,int max); //快速排序int partitio…

那些王道书里的题目-----计算机网络篇

注&#xff1a;仅记录个人认为有启发的题目 p155 34.下列四个地址块中&#xff0c;与地址块 172.16.166.192/26 不重叠&#xff0c;且与172.16.166.192/26聚合后的地址块不会引入多余地址的是&#xff08;&#xff09; A.172.16.166.192/27 B.172.16.166.128/26 …

53 initrd/initramfs 相关

前言 呵呵 这里主要是 探究一下 根文件系统 相关的东西 以及 附加了一些 系统启动的相关信息 计算机启动 硬件重置寄存器 设置初始化数据 计算机访问 0xffff0, 执行 bios 的代码, bios 选择启动设备, 然后执行 启动设备 boolloader 的代码 bootloader 将 boot.img 加载…

玩具蛇(蓝桥杯)

文章目录 玩具蛇题目描述答案&#xff1a;552dfs 玩具蛇 题目描述 本题为填空题&#xff0c;只需要算出结果后&#xff0c;在代码中使用输出语句将所填结果输出即可。 小蓝有一条玩具蛇&#xff0c;一共有 16 节&#xff0c;上面标着数字 1 至 16。每一节都是一个正方形的形…