STM32CUBEMX_创建时间片轮询架构的软件框架

news2024/10/5 16:25:59

STM32CUBEMX_创建时间片轮询架构的软件框架

说明:
1、这种架构避免在更新STM32CUBEMX配置后把用户代码清除掉
2、利用这种时间片的架构可以使得代码架构清晰易于维护
创建步骤:
1、使用STM32CUBEMX创建基础工程
2、新建用户代码目录
3、构建基础的代码框架

1、使用STM32CUBEMX创建基础工程

选择外部高速时钟
在这里插入图片描述
配置下载调试接口
在这里插入图片描述
配置时钟线
在这里插入图片描述
开一个只有定时功能的定时器(中断1ms)
在这里插入图片描述
配置工程
在这里插入图片描述

2、新建用户代码目录

打开KEIL工程
在这里插入图片描述
创建两个文件夹目录
在这里插入图片描述
把实际的这两个目录文件夹从别的工程拷贝到本工程根目录下或者是自己新建
在这里插入图片描述
添加文件
在这里插入图片描述
添加文件之后的实际效果
在这里插入图片描述
包含头文件
在这里插入图片描述
必须要勾选microlib才能打印日志
在这里插入图片描述

然后写一个闪灯的测试程序可以发现整个工程按照我们的意愿编译成功并且可以正常运行

3、构建基础的代码框架

在这里插入图片描述
mymain.c

#include "mymain.h"
#include "main.h"
#include "gpio.h"
//#include "adc.h"
//#include "i2c.h"
#include "tim.h"
//#include "usart.h"
//#include "uart_lcd.h"
//#include "encoder.h"
//#include "uart_485.h"
#include "board.h"
//#include "eeprom.h"
//#include "led.h"
//#include "dma.h"

/*************时间片轮转数组结构体*************/
system_task_t task_array[SYSTEM_TASK_MAX_NUM] = {
//	{0,0,0,50,uart_lcd_task},
//	{0,0,0,20,encoder_task},
//	{0,0,0,1,uart_485_task},
	{0,0,0,500,board_task}
//	{0,0,0,500,led_task},
//	{0,0,0,100,eeprom_24c64_task}
};

int main(void)
{
//	SCB->VTOR = FLASH_BASE | 0x14000;	
  HAL_Init();
  SystemClock_Config();//配置外部高速时钟

  MX_GPIO_Init();//GPIO口初始化
	
//	MX_DMA_Init();
//	MX_ADC1_Init();
//	
//  MX_I2C1_Init();
//	MX_I2C2_Init();
//	
//	MX_TIM1_Init();
//  MX_TIM2_Init();
//  MX_TIM3_Init();
//	MX_TIM4_Init();
//  MX_TIM5_Init();
	MX_TIM6_Init();
//	
//  MX_USART1_UART_Init();
//  MX_USART2_UART_Init();

	system_init();
	
  while (1)
  {
//		Debug_printf("StartDefaultTask:%f",1.153);
//		Debug_info("StartDefaultTask:%d",253);
//		Debug_debug("StartDefaultTask:%f",3.153);
//		Debug_warning("StartDefaultTask:%f",4.153);
//		Debug_error("StartDefaultTask:%f",5.153);
//		HAL_GPIO_WritePin(GPIOE, GPIO_PIN_8, GPIO_PIN_SET);
//		HAL_Delay(500);
//		HAL_GPIO_WritePin(GPIOE, GPIO_PIN_8, GPIO_PIN_RESET);
//		HAL_Delay(500);
		
		for(int i = 0; i < SYSTEM_TASK_MAX_NUM; i++)	//任务状态判断执行
		{
			if(task_array[i].taskStatus == 1)
			{                            
				task_array[i].task_function();
				task_array[i].taskCount = 0; 
				task_array[i].taskStatus = 0;
			} 
		}
	}
}

void system_init(void)
{
//	HAL_Delay(2000);	//等待串口屏启动完成
//	eeprom_24c64_init();
//	board_init();
//	uart_485_init();
//	uart_lcd_init();
//	led_init();
//	
//	HAL_TIM_Base_Start_IT(&htim1);
	HAL_TIM_Base_Start_IT(&htim6);
//	HAL_TIM_PWM_Start(&htim2,TIM_CHANNEL_2);
//	HAL_TIM_PWM_Start(&htim3,TIM_CHANNEL

mymain.h

#ifndef __MYMAIN_H
#define __MYMAIN_H
#include "log.h"

extern void SystemClock_Config(void);

#define SYSTEM_TASK_MAX_NUM 1	//任务数量

typedef struct system_task
{
	unsigned char taskStatus;			//任务运行状态
	unsigned char taskPriority; 	//任务运行优先级
	unsigned int  taskCount;			//任务运行中计数
	unsigned int  taskInterva1;		//任务运行时间间隔(单位:ms)
	void (* task_function)(void);	//任务运行的回调函数
}system_task_t;

void system_init(void);

#endif


board.c

#include "board.h"

void board_init(void)
{
	BOARD_LED_OFF;
//	BOARD_FUN_SPEED;
}

void board_task(void)
{
	BOARD_LED_CYCLE;
	Debug_printf("system run ......\r\n");
}



board.h

#ifndef __BOARD_H
#define __BOARD_H
#include "main.h"
#include "log.h"
#include "tim.h"

/********1~5档位,档位越高风扇转速越高*******/
enum{
	board_fun_one = 3600,
	board_fun_two = 2700,
	board_fun_three = 1800,
	board_fun_four = 1200,
	board_fun_five = 600,
};

#define BOARD_PIN_LED 		GPIO_PIN_8
#define BOARD_LED_ON 			HAL_GPIO_WritePin(GPIOE, BOARD_PIN_LED, GPIO_PIN_RESET)
#define BOARD_LED_OFF 		HAL_GPIO_WritePin(GPIOE, BOARD_PIN_LED, GPIO_PIN_SET)
#define BOARD_LED_CYCLE		HAL_GPIO_TogglePin(GPIOE, BOARD_PIN_LED)

//#define BOARD_FUN_SPEED		__HAL_TIM_SET_COMPARE(&htim5,TIM_CHANNEL_1,board_fun_three);	//板载风扇转速与占空比成反比,机箱散热风扇
//#define BOARD_FUN2_SPEED	__HAL_TIM_SET_COMPARE(&htim5,TIM_CHANNEL_2,board_fun_three);	//板载风扇转速与占空比成反比,灯珠散热风扇

void board_task(void);
void board_init(void);

#endif



log.c

#include "log.h"

#ifdef __GNUC__
#define PUTCHAR_PROTOTYPE int __io_putchar(int ch)
#else
#define PUTCHAR_PROTOTYPE int fputc(int ch, FILE *f)
#endif

PUTCHAR_PROTOTYPE
{
	SEGGER_RTT_PutChar(0, ch);  //重映射RTT
	return ch;
}

log.h

#ifndef __LOG_H
#define __LOG_H
#include "stdio.h"
#include "SEGGER_RTT.h"

#define USER_DEBUG_EN 1 //用户使用日志打印的总开关
 
#ifdef USER_DEBUG_EN
	#define Debug_printf(format, ...) 	printf(RTT_CTRL_TEXT_WHITE format , ##__VA_ARGS__)//"\r\n"
	#define Debug_info(format, ...)   	printf(RTT_CTRL_TEXT_GREEN"[main]info:" format "\r\n", ##__VA_ARGS__)
	#define Debug_debug(format, ...)  	printf(RTT_CTRL_TEXT_WHITE"[main]debug:" format "\r\n", ##__VA_ARGS__)
	#define Debug_warning(format, ...)  printf(RTT_CTRL_TEXT_YELLOW"[main]debug:" format "\r\n", ##__VA_ARGS__)
	#define Debug_error(format, ...)  	printf(RTT_CTRL_TEXT_RED"[main]error:" format "\r\n",##__VA_ARGS__)
#else
	#define Debug_printf(format, ...)
	#define Debug_info(format, ...)
	#define Debug_debug(format, ...)
	#define Debug_warning(format, ...)
	#define Debug_error(format, ...)
#endif

#endif


本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/975106.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

uniapp制作——交友盲盒

在小程序端可以有很多好玩的小玩意&#xff0c;目前网上比较有趣的就是有一个交友盲盒&#xff0c;能抽出和找出对象的一个有趣的小程序&#xff0c;所以今天给大家带来用uniapp搭建的交友盲盒&#xff0c;大家再根据自己的情况去搭建自己的后端和数据库来完成自己的一个小项目…

实现Android APK瘦身99.99%

摘要&#xff1a; 如何瘦身是 APK 的重要优化技术。APK 在安装和更新时都需要经过网络下载到设备&#xff0c;APK 越小&#xff0c;用户体验越好。本文作者通过对 APK 内在机制的详细解析&#xff0c;给出了对 APK 各组成成分的优化方法及技术&#xff0c;并实现了一个基本 APK…

制药行业GMP是什么?

药品制造是一项极其关键的行业&#xff0c;它直接涉及到人民的健康和生命安全。因此&#xff0c;确保药品质量、安全性和有效性至关重要。为了实现这一目标&#xff0c;全球范围内都实施了药品生产质量管理规范&#xff0c;通常被简称为GMP&#xff08;Good Manufacturing Prac…

Java8新特性stream和parallelStream有什么区别

1 stream和parallelStream的区别 1.Stream 是在 Java8 新增的特性&#xff0c;普遍称其为流&#xff1b;它不是数据结构也不存放任何数据&#xff0c;其主要用于集合的逻辑处理。 2.Stream流是一个集合元素的函数模型&#xff0c;它并不是集合&#xff0c;也不是数据结构&…

是真卷?还是内耗?这次面试造的火箭着实有点离谱

大家好&#xff0c;我是冰河~~ 都说面试造火箭&#xff0c;工作拧螺丝&#xff0c;不过这次面试造的着实有点离谱&#xff01; 事情是这样的&#xff0c;昨天在冰河的知识星球微信群里&#xff0c;一名小伙伴发了一张面试常州一家公司的面试题的图片&#xff0c;瞬间吸引了我…

十个响应式页面项目

十个响应式页面项目 上接 53 个特效&#xff1a; 53 个 CSS 特效 153 个 CSS 特效 253 个 CSS 特效 3&#xff08;完&#xff09; 照例&#xff0c;预览地址在 http://www.goldenaarcher.com/html-css-js-proj/&#xff0c;git 地址&#xff1a; https://github.com/Goldena…

Seata处理分布式事务之1.7.0

https://blog.csdn.net/zhang33565417/article/details/122768300 1.5.0之后版本发生了很大改变 1.seata安装 1.1官网地址 http://seata.io/zh-cn/ 1.2下载地址 https://github.com/seata/seata/releases 下载的是seata-server-1.7.0.zip 1.3seata相关配置的修改 seata-…

Python学习 -- Math模块和Random模块

math 模块提供了许多数学函数&#xff0c;用于执行各种数学运算。以下是一些常用的 math 函数以及相应的示例代码&#xff1a; math.sqrt(x): 计算平方根。 import math x 25 square_root math.sqrt(x) print(f"√{x} {square_root}") math.pow(x, y): 计算 x …

好用的电容笔有哪些推荐?适合开学买电容笔推荐

尤其是在苹果品牌推出了专属Pencil系列之后&#xff0c;苹果原装的Pencil系列产品&#xff0c;更是将价格压到了所有人都无法企及的地步。市场上有不少可以替代苹果Pencil的平替电容笔&#xff0c;无论是做笔记还是专业的绘画&#xff0c;都完全足够了。在此&#xff0c;我将为…

电视盒子什么品牌好?数码博主盘点目前性能最好的电视盒子

电视盒子是非常重要的&#xff0c;老人小孩基本每天都会看电视&#xff0c;而电视盒子作为电视盒子的最佳拍档销量十分火爆&#xff0c;我自己每个月都会测评几次电视盒子&#xff0c;今天给大家详细解读一下电视盒子什么品牌好&#xff0c;看看目前性能最好的电视盒子是哪些&a…

电力智能监控系统

电力智能监控系统依托电易云-智慧电力物联网&#xff0c;利用计算机、计量保护装置和总线技术&#xff0c;对中、低压配电系统的实时数据、开关状态及远程控制进行了集中管理。该电力监控系统可以为企业提供"监控一体化"的整体解决方案&#xff0c;主要包括实时历史数…

AutoHotkey(AHK)脚本,自动截图当前屏幕并发送给微信窗口

前提先安装好 AutoHotkey &#xff0c;本脚本依赖AutoHotkey 环境 首先 &#xff0c;设置微信的快捷键 执行代码如下&#xff1a; Loop {SendInput, {Alt down}s{Alt up}Sleep, 2000 ; 等待2秒; 双击鼠标左键Click, 2Sleep, 1000 ; 等待1秒SendInput, {Alt down}a{Alt up}Sl…

systemverilog运行的时候调用系统函数运行python等

systemverilog 运行的时候使用系统函数 使用场景&#xff0c;在仿真过程中&#xff0c;需要外部环境准备仿真参数&#xff0c;或者调整仿真参数的时候 创建一个python文件 print("123")创建一个sv文件 module dut ;initial begin$system("python 123.py"…

【补充】助力工业物联网,工业大数据之AirFlow安装

【补充】助力工业物联网&#xff0c;工业大数据之AirFlow安装 直接在node1上安装 1、安装Python 安装依赖 yum -y install zlib zlib-devel bzip2 bzip2-devel ncurses ncurses-devel readline readline-devel openssl openssl-devel openssl-static xz lzma xz-devel sqlit…

WebStorm软件安装包分享(附安装教程)

目录 一、软件简介 二、软件下载 一、软件简介 WebStorm是一款由JetBrains开发的集成开发环境&#xff08;IDE&#xff09;&#xff0c;专门用于开发Web应用程序。它支持多种前端技术&#xff0c;如HTML、CSS、JavaScript、TypeScript等&#xff0c;并提供了丰富的功能和工具&…

ICL7106芯片的特性、应用与重要性 | 百能云芯

ICL7106 是一种专用集成电路 (IC)&#xff0c;在模数转换中发挥着至关重要的作用。它是一种通用且广泛使用的 ADC&#xff0c;可在各种电子应用中提供高精度测量。下面小芯为您详细解析ICL7106 是什么、它的特性、应用及其在电子领域的重要性。 ICL7106 是 Intersil&#xff08…

记一次Nginx代理Mysql服务的经历

背景&#xff1a; 根据组长背景描述&#xff0c;具备以下前提 1. Mysql服务器为 某A云厂商的RDS SAAS服务&#xff0c;但是不开通外网服务 2. EC2 服务器一台&#xff0c;某A云厂商LaaS服务&#xff0c;也不开通外网 3.阿里云服务器一台&#xff0c;这台服务器有服务需要连…

巨人互动|游戏出海游戏出海效果怎样?

游戏出海是指将原本面向国内市场的游戏产品进行调整和优化&#xff0c;以适应海外市场的需求&#xff0c;并进行推广和销售。下面小编讲讲关于游戏出海对于游戏效果的影响的一些讨论点。 1、市场扩大 通过游戏出海&#xff0c;可以将游戏产品的目标受众从国内扩展到全球范围内…

博物学欣赏

自文艺复兴以降&#xff0c;西方开启发现世界的旅程。 这些东西对于科学、地理学、考古学、探险、旅游学、博物学、绘画学、美学无疑有着至高无上的借鉴价值。我们今天出版这些图文并茂的书籍有如斯高远的志向和目标&#xff1a; 展现自然的历史风貌 呈现万物的生态原样 复现…

nginx离线安装

ngixn的离线安装(centos7) 需要的依赖 gcc、gcc-c pcre-8.42.tar.gz zlib-1.2.11.tar.gz openssl-1.1.1s.tar.gz perl-5.28.0.tar.gz 在进行nginx离线安装时&#xff0c;首先查看系统是否安装 gcc、gcc-c&#xff0c;若没有进行安装&#xff0c;请先进行安装 gcc -v #查…