8.Winform界面打包成DLL提供给其他的项目使用

news2024/10/5 21:00:07

背景

希望集成一个Winform的框架,提供权限菜单,根据权限出现各个Winform子系统的菜单界面。不希望把所有的界面都放放在同一个解决方案下面。用各个子系统建立不同的解决方案,建立代码仓库,进行管理。

实现方式

将Winform的UI界面层的输出方式,改成【类库】,然后生成,记得把这个子系统需要调用的到第三方dll和配置文件也一起丢到框架的bin文件夹中去即可。

在这里插入图片描述
把子系统需要用到的第三方dll和配置文件全部丢入到框架的bin文件夹里面
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/820029.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Linux系统使用(超详细,暑假弯道超车!!)

目录 Linux操作系统简介 Linux和windows区别 Linux常见命令 Linux目录结构 Linux命令提示符 常用命令 ls cd pwd touch cat echo mkdir rm cp mv vim vim的基本使用 grep netstat Linux面试题 Linux操作系统简介 Linux操作系统是和windows操作系统是并列的关系。只不过只…

牛客网Verilog刷题——VL54

牛客网Verilog刷题——VL54 题目答案 题目 实现一个深度为8,位宽为4bit的双端口RAM,数据全部初始化为0000。具有两组端口,分别用于读数据和写数据,读写操作可以同时进行。当读数据指示信号read_en有效时,通过读地址信号…

SpringBoot 集成Swagger2

一、入门 1、导入依赖 <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot-configuration-processor</artifactId><optional>true</optional></dependency><!-- https://mvnrepository.com…

IIC协议原理及IIC通信的具体实现(S3C2440裸机开发)

文章目录 前言一、IIC协议二、IIC的控制2.1 IIC控制相关寄存器2.2 IIC控制中的特征信号2.3 IIC的数据传输格式2.3.1 ACK信号2.3.2 数据读写操作2.3.3 中止通信 三、 IIC编程3.1 IIC编程的相关寄存器3.2 IIC代码实现 总结 前言 本期和大家主要分享的是一种非常常见并且非常常用…

【笔记】欧拉回路与欧拉路径

先放结论 对于一个无向连通图1&#xff1a; 存在欧拉路径的充分必要条件为&#xff1a;度数为奇数的点只有 0 0 0 或 2 2 2 个。存在欧拉回路的充分必要条件为&#xff1a;没有度数为奇数的点。 对于一个有向连通图&#xff1a; 存在欧拉路径的充分必要条件为&#xff1a; 所…

asm:常用语法

常用语法 1、循环1.1、使用条件跳转指令实现循环1.2、使用LOOP指令实现循环 2、字符串2.1、指定字符串的长度2.2、字符串指令2.3、重复前缀 3、数组4、递归5、宏6、文件操作7、内存管理 1、循环 1.1、使用条件跳转指令实现循环 汇编语言中实现循环通常需要使用跳转指令和条件…

k8s概念-DaemonSet

回到目录 参考链接https://v1-23.docs.kubernetes.io/zh/docs/concepts/workloads/controllers/daemonset/ DaemonSet 确保全部&#xff08;或者某些&#xff09;节点上运行一个 Pod 的副本 当节点加入到K8S集群中&#xff0c;pod会被&#xff08;DaemonSet&#xff09;调度到…

昆腾存储XSAN架构迁移误格式化系统的服务器数据恢复案例

服务器数据恢复环境&#xff1a; 昆腾存储&#xff0c; 操作系统&#xff1a;MAC OS&#xff0c; 存放的数据类型&#xff1a;MXF、MOV等格式视频文件。 存储中有9个数据卷&#xff0c;其中包括1个META信息卷&#xff0c;8个DATA信息卷。 服务器故障&#xff1a; 由于业务需求…

《入门级-Cocos2d 4.0塔防游戏开发》---实战

第一课&#xff1a;coco2dx4.0开发环境搭建 在uos专业版本搭建cocos2dx4.0开发环境 ---》 点击学习 第二课&#xff1a;游戏加载界面开发 介绍coco2dx4.0的场景添加. ---》 点击学习 第三课&#xff1a;欢迎界面开发&#xff08;一&#xff09; 开发欢迎界面的精灵添加和位…

git 生成change-id的解决方法

解决问题 1&#xff0c;在提交代码时的信息会要求添加change-id的要求&#xff0c;但对于默认的git来说&#xff0c;是不会自动生成change-id的 2&#xff0c;当git push的时候&#xff0c;报错 remote: ERROR: commit 7c30eda: missing Change-Id in message footer 解决办…

Boost开发指南-3.7intrusive_ptr

intrusive_ptr intrusive_ptr也是一种引用计数型智能指针&#xff0c;但与之前介绍的 scoped_ptr&#xff0c;shared_ptr 不同&#xff0c;需要额外增加一些的代码才能使用。它的名字可能会给人造成误解&#xff0c;实际上它并不一定要修改代理对象的内部数据。 如果现存代码…

一个月,英语能提高多少?附资料分享

学习语言是一个漫长的过程&#xff0c;但对于我这样一个英语小白&#xff0c;利用业余时间&#xff0c;一个月的学习&#xff0c;还是能看到不少的进步。除了听、说、读、写等能力方面有提升之外&#xff0c;最大的收获就是培养了英语学习和运用的兴趣。这样的结果对我来说&…

《Python深度学习-Keras》精华笔记1:深度学习数学基础及张量

公众号&#xff1a;尤而小屋作者&#xff1a;Peter编辑&#xff1a;Peter 持续更新《Python深度学习》一书的精华内容&#xff0c;仅作为学习笔记分享。 本文是第一篇&#xff1a;深度学习中的数学基础和张量操作 In [1]: import pandas as pd import numpy as npimport tens…

【linux--->传输层协议】

文章目录 [TOC](文章目录) 一、端口号1.端口号划分范围2.常用知名端口号 二、网络命令1.netstat 命令2.pidof 命令 三、UDP协议1.格式2.协议的分离和合并3.特点4.缓冲区 四、TCP协议1.格式2.4位的数据偏移3.确认应答机制4.序号与确认序号5.16位窗口6.标志位7.超时重传8.三次握手…

腾讯云TencentOS Server镜像系统常见问题解答

腾讯云TencentOS Server镜像是腾讯云推出的Linux操作系统&#xff0c;完全兼容CentOS生态和操作方式&#xff0c;TencentOS Server操作系统为云上运行的应用程序提供稳定、安全和高性能的执行环境&#xff0c;TencentOS可以运行在腾讯云CVM全规格实例上&#xff0c;包括黑石物理…

2023-07-31力扣每日一题

链接&#xff1a; 143. 重排链表 题意&#xff1a; 将链表L0 → L1 → … → Ln - 1 → Ln变成L0 → Ln → L1 → Ln - 1 → L2 → Ln - 2 → … 解&#xff1a; 线性表法还是好写的 这边搞一下翻转法&#xff0c;快慢指针求翻转点&#xff08;翻转后面一半然后双指针合并…

【运维】linkis1.3.2版本保姆级安装

文章目录 一.建议配置1 软硬件环境建议配置1.1 Linux 操作系统版本要求1.2 服务器建议配置1.3 软件要求1.4 客户端 Web 浏览器要求2.3 LDAP设置&#xff08;暂时不需要&#xff09; 二. 下载三. 安装部署linkis server端1. 首次安装准备事项1.1 Linux服务器1.2 添加部署用户 2.…

《入门级-Cocos2dx4.0 塔防游戏开发》---第四课:欢迎界面开发(二)

目录 一、开发环境介绍 二、开发内容 2.1. logo变化动画 2.2. logo帧动画 2.3 start按钮移动动画 2.4 Credite移动动画 三、显示效果 四、知识总结 1. 移动动画 2. 变大变小动画 3. 延时效果 4. 动画组 一、开发环境介绍 操作系统&#xff1a;UOS1060专业版本。 c…

Java常用API:Math、Syetem、Runtime、BigDecimal

Math类 //目标:了解下Nath类提供的常见方法。 // 1、public static int abs(int a):取绝对值&#xff08;拿到的结果一定是正数&#xff09; //public static double abs(double a) system.out.println(Math.abs(-12)); // 12 system.out.println(Math.abs(123));// 123 system…

upload-labs靶场全通关

upload-labs靶场全通关 pass-1pass-2pass-3pass-4pass-5pass-6pass-7pass-8pass-9pass-10pass-11pass-12pass-13pass-14pass-15pass-16pass-17pass-18pass-19pass-20 pass-1 首先我们新建一个php的webshell文件 在这里我写了一个rabb1t.php的shell文件 内容为这个样子 然后我…