【FPGA】Vivado 保姆级安装教程 | 从官网下载安装包开始到安装完毕 | 每步都有详细截图说明 | 支持无脑跟装

news2024/7/6 18:54:50

目录 如果你有安装包,可跳转至 Step5

Vivado 介绍

Step1:进入官网

Step2:注册账号

Step3:进入下载页面

Step4:下载安装包

Step5:安装

Step6:等待软件安装完成

安装完成

Vivado 介绍

Vivado 是 FPGA 厂商赛灵思公司(XILINX)于 2012 年发布的集成设计环境。 

其包括高度集成的设计环境和新一代从系统到 IC 级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于 AMBA AXI4 互联规范、IP-XACT IP 封装元数据、工具命令语言(TCL)、Synopsys 系统约束(SDC) 以及其它有助于根据客户需求量身定制设计流程并符合业界标准的开放式环境。赛灵思构建的 Vivado 工具把各类可编程技术结合在一起,能够扩展多达1 亿个等效 ASIC 门的设计。

该软件利用大型的仿真技术,利用计算机的超级算法,为用户提供了大型流程优化方案以及加工技术的改进,利用电脑虚拟技术,可以从基础的加工到生产的流程实现一体化的操作方案,内置逻辑仿真器、独立的编程控制器,让您的设计速度提高四倍以上,从而减少产品的上市时间。

Vivado 设计套件有着开箱即用特性,即下载安装后就可以直接使用,下面我们就将带着大家一步步下载并安装这款软件。

Step1:进入官网

① 我们可以选择在 XILINX 官网下载其公司旗下的产品 Vivado

🔍 官网地址:

  • www.xilinx.com           (英文)
  • www.china.xilinx.com  (官方中文网站)

👉 点击直达:

  • Xilinx - Adaptable. Intelligent | together we advance_    (英文)
  • Xilinx -灵活应变.Intelligent | 同超越,共成就_                (官方中文网站)

② 进入官网

"Xilinx is now part of AMD"

我们看到了醒目的 AMD 字样……是的,你没有看错,XILINX 被 AMD 收购了 ~

如果你对该事件比较感兴趣,不妨看看: https://www.amd.com/en/corporate/xilinx-acquisition

  下面是苏妈的收购感言:

所以我们要登录网站,就需要注册 AMD 账号。(如果你已经有账号了请自行跳过 Step2)

Step2:注册账号

① 进入页面:首先点击网页右上角 "人物" 按钮,随后点击 "Login | Register"

 (登录页面和注册页面是放一起的)

② 点击创建密码:随后会转到登陆界面,直接点击 "创建密码" 进入注册页面

 ③ 账户创建:填写姓名以及邮箱,这里填的邮箱是用来接收验证信息的,所以一定要填一个能用的邮箱。然后选择语言首选项和位置,上面的信息其实除了邮箱都可以随便填。最后进行完谷人机身份验证后,点击 Submit 提交即可。 

④ 激活账户:访问令牌在你的邮箱中,如果你没有收到邮件,可以点击黑色按钮 "重新发送电子邮件"。 设置密码这块比较烦,长度必须包含10且需要包含 1个大写字母,1个小写字母,1个数字,1个特殊字符。这里不得不吐槽一下,是真的很麻烦。

⑤ 登陆账号:激活账户后,会跳转到登录页面。此时输入刚才的邮箱和密码即可登陆。

登陆后跳转显示如下页面则说明登陆成功:

Step3:进入下载页面

如果你不想一个个点击去找下载页面在哪,可以直接点击下面的链接:

🔗 下载页面:https://www.xilinx.com/support/download.html

① 点击 Company:

​​​​​​

② 点击 Support:

③ 点击 Doneloads & Licensing:

点击之后就进入到了下载页面:

Step4:下载安装包

① 选择下载版本:这里我们选择 2017.3 版本

② 下拉选择你系统对应的安装包:

③ 认证个人信息:下载之前还需要再次填写个人信息,简单地填一下,然后点击 Download 按钮就可以下载了。

④ 等待安装包下载完毕即可:

  

Step5:安装

①  打开安装包:下完完毕后我们打开安装包进行安装:

如果弹出 Windows 安全中心警报,点击 允许访问 即可:

此时可能会弹出让你下新版本的信息框,我们这里选择 Continue 即可:

 ③ 此时就看到了安装向导,Welcome 欢迎界面,点击 Next >  

④ 再次输入账号密码:

⑤ 勾选同意:这三个条款全部勾选后才能选择 Next>

⑥ 选择版本:这里选择你需要的版本即可

平常用的少,也不是专攻硬件的,所以听从建议,选 WebPACK。 

多数人这里会选择 HL design Edition.

如果充裕的磁盘空间,建议全部勾选。

⑦ 选择安装路径:

默认是安装在 C盘的,可以选择其他盘。

  这里按 Yes,即可创建文件目录。

⑧ 点击 Install 安装:

Step6:等待软件安装完成

这可能会是一个漫长的等待,长到令人窒息,就像是安装核弹发射系统一样。

在这期间你可以选择看看它滚动的宣传图,当然也没有什么好看的也就那几张,看腻了就最小化让它自己装就行了。

在这期间可能会弹出 Windows 安全中心:信任安装即可。

安装完成

安装完成后会弹出信息框,提示你软件已经成功安装:

安装完成后桌面上会生成 Vivado 的快捷方式,双击打开即可:

至此,Vivado 已安装完毕。

End.


本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/773380.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Linux--在vim中查看man手册中某个函数的库函数 !man 函数名

比如我用到fork函数,但是我不知道它的库函数,在不想退出vim的情况下,我们可以在命令模式下输入以下指令: !man fork 示例: 注:默认打开的是man手册最底部,需要往上翻才能找到库函数

中国农机自动化:靠补贴喂养还是真市场需求?

近年来,随着科技的快速发展和农业现代化进程的加快,中国农机自动化技术得到了广泛应用和推广。但高速的发展之下,不禁有人疑问:中国农机自动化:靠补贴喂养还是真市场需求? 政策补贴大力支持农机自动化引担忧…

Vue中值的传递(父传子,子传父,子父同步)

1.父组件->子组件传递数据 ①父组件通过 v-bind: 属性绑定的形式,把数据传递给子组件 ②子组件中,通过props接收父组件传递过来的数据 2.子组件->父组件传递数据 1.在子组件中: 在emits定义自定义事件通过触发事件来触发自定义函数&am…

天翎低代码平台构建的奥林巴斯管理系统

企业痛点: 奥林巴斯(中国)有限公司是一家主营工业、医疗和消费者市场的国际公司。随着集团业务的高速的发展,公司也不断的优化产品和服务,以创新之心与时俱进,公司管理层也深刻意识到,想让集团能…

wpf prism使用

目录 1.Nuget中安装prism框架: 2.改造程序启动入口 3.View和ViewModel自动关联 4.绑定 5.Command 6.Event Aggregator(事件聚合器)、消息通知 7.弹窗、对话服务 DialogService 8.Region区域 9.Navigation导航 10.module 模块 1.Nug…

java读取邮件标题时,突然报错Failed to load IMAP envelope

生产环境之前可以正常使用imap协议收取邮件,突然有一天报错Failed to load IMAP envelope,可以确定邮件服务器、账号密码、配置都是正确的,使用foxmail可以正常连接并成功收取邮件,因此可以推测java代码可能有兼容性问题&#xff…

翻遍200个网站,整理了这套CSDN最系统的网络安全学习路线

01 什么是网络安全 网络安全可以基于攻击和防御视角来分类,我们经常听到的 “红队”、“渗透测试” 等就是研究攻击技术,而“蓝队”、“安全运营”、“安全运维”则研究防御技术。 无论网络、Web、移动、桌面、云等哪个领域,都有攻与防两面…

MySQL第七次

1、 string类型数据的命令操作: 2、 list类型数据的命令操作: 3、 hash类型数据的命令操作: 4、Keys相关的命令操作 二、举例说明list和hash的应用场景 hash:电商购物车 以用户id为key,商品id为field,商品数…

知识图谱推理的学习逻辑规则(上)7.19+(下)7.20

知识图谱推理的学习逻辑规则 摘要介绍相关工作模型 (7.20)知识图谱推理逻辑规则概率形式化参数化规则生成器具有逻辑规则的推理预测器 优化E步骤M步骤 实验实验设置实验结果 总结 原文: 摘要 本文研究了在知识图谱上进行推理的学习逻辑规则…

Airbnb 引入 HTTP Streaming,网页性能得到大幅度提升

Airbnb 通过引入HTTP Streaming来提升网站的页面加载性能。他们将测试的每个页面(包括主页)的首次内容绘制(First Contentful Paint,FCP)时间降低了大约 100 毫秒。他们还最小化了后端慢查询对加载时间的影响。 Airbn…

机房监控教程:管理不求人,即学即用!

供电系统的可靠性直接影响到广电数据中心机房设备的正常运转,涉及信息存储、节目录制采编传输、影音数据等生产质量和播出质量。 为确保数据中心机房安全运行,实现对数据中心机房各系统设备的统一监控与有效管理,减轻机房维护人员工作负担&am…

MySql5.6版本开启慢SQL功能-本次采用永久生效方式

文章目录 一、目的二、注意点说明三、操作步骤3.1 临时生效操作步骤3.2 永久生效操作步骤3.3 按日期生成日志文件3.4 执行成功后验证功能是否开启 四、慢SQL日志记录内容介绍五、Shell脚本 一、目的 开启 MySQL 的慢查询日志(Slow Query Log)可以帮助你…

怎么做活码二维码?动态码在线生成技巧

现在制作二维码用户大多习惯使用活码二维码,其优势在于能够在二维码不变的情况下修改内容,能够生成二维码长期使用,还可以设置有效期、加密等其他功能可以使用。那么怎么生成活码二维码呢?可以使用二维码生成器(免费在…

EasyCVR告警类型设置后首页需要刷新才能更新的问题优化

EasyCVR视频融合平台基于云边端一体化架构,可支持多协议、多类型设备接入,包括:NVR、IPC、视频编码器、无人机、车载设备、智能手持终端、移动执法仪等。平台具有强大的数据接入、处理及分发能力,可在复杂的网络环境中&#xff0c…

【Jeston Nano】环境配置-部署yolov5

【Jeston Nano】环境配置-部署yolov5 一.Jeston Nano系统初始化设置1.Chinese 语言包2.备份3.更换源 二.环境配置,安装包1.CUDA2.pip33.jtop4.配置可能需要的库5.安装所需要的依赖环境6.安装opencv的系统级依赖,一些编码库7.更新CMake8.u盘兼容 三、安装…

python web开发之WSGI/uwsgi/uWSGI详解

1. 三者的定义 WSGI是一种通信协议。uwsgi是一种传输协议。uWSGI是实现了uwsgi和WSGI两种协议的Web服务器。 2.三者的使用场景 WSGI,全称 Web Server Gateway Interface,是为 Python 语言定义的 Web 服务器和 Web 应用程序或框架之间的一种简单而通用的接…

Jmeter性能测试,通过插件监控服务器资源使用情况

Jmeter作为性能测试的首选工具,那么在性能测试过程中如何方便快捷的监测服务器资源使用情况? 可以通过jmeter 安装"PerfMon(Servers Performance Monitoting)"插件并配合服务端资源监控工具进行实现,详细操作流程如下:…

MySQL 中使用变量实现排名名次

title: MySQL 中使用变量实现排名名次 date: 2023-7-16 19:45:26 tags:- SQL 高级查询 一. 数据准备: CREATE TABLE sql_rank (id INT ( 11 ) UNSIGNED NOT NULL AUTO_INCREMENT,user_id INT ( 11 ) UNSIGNED NOT NULL,score TINYINT ( 3 ) UNSIGNED NOT NULL,add_time date NO…

Spring Batch之读数据库—HibernateCursorItemReader(三十九)

一、HibernateCursorItemReader 对应关系映射(Object Relational Mapping,ORM)是一种为解决面向对象与关系数据库存在的互不匹配的现象的技术。简单的说,ORM是通过使用描述对象和数据库之间映射的元数据,将Java程序中的对象自动持久化到关系数据库中。 H…

html 解决css样式 缓存 ---css引入添加时间戳

通过js 对引入的css添加时间戳 <script type"text/javascript">document.write("<link relstylesheet typetext/css href./style/base.css?v" new Date().getTime() ">");document.write("<link relstylesheet typetext…