计算机组成原理实验二:多位逻辑门构建

news2024/7/3 12:21:14

目录

一、实验目的

二、实验设备

三、实验原理

四、实验内容

1. 16位非门

2.16位与门

3.16位或门

4. 16位复用器

五、实验习题

1.还可以怎样设计各种芯片的物理结构

2.“block copy”(块复制)和edit菜单中“copy to clipboard”的区别

六、自主设计--4选1多路选择器

1.实验原理

2.实验元件选择及电路图设计、搭建

3.仿真运行

七、实验心得

八、实验总结


一、实验目的

  1. 掌握构建多位非门、与门、或门和复用器的方法;
  2. 理解微机的基本组成和工作原理。

二、实验设备

安装有proteus 7.10的PC 微机一台

三、实验原理

(1)多位逻辑门的结构

本节实验内容都是针对16位输入和输出,包括非门、与门、或门和多路复用器的设计,在设计芯片时,可以使用前面已经设计好的芯片。

对于多位逻辑门,由于输出与输入所表示的二进制数的位数相同,因此可以使用与位数一样多的1位逻辑门,并使每一个逻辑门对应二进制数的每一位,例如16位非门Not16的结构如图 113所示,输入与输出的数据宽度均为16,因此可以用16个1位非门Not,并使每个非门对应二进制数的每一位

 

 

 

四、实验内容

1. 16位非门

(1)实验元件选择及电路图设计、搭建:

元件选择:NOT、LOGICSTATE、LOGICPROBE(BIG)

 在元件选择完成并搭建好电路后,仿真电路如下所示:

 (2)仿真运行

I 测试输入数据:1001100110011001    预期输出结果:0110011001100110

 

II 测试输入数据:0001000100011001   预期输出结果:1110111011100110

 

III 测试输入数据:1111111111111000   预期输出结果:0000000000000111

 

(3)仿真结果分析:通过三组数据测试发现,仿真电路输出结果均于预期结果一致,即该器件实现了16位非门的功能。具体是通过对每一位数据进行非运算,然后将结果串行得到最后的输出。

2.16位与门

(1)实验元件选择及电路图设计、搭建:

元件选择:AND_2、LOGICSTATE、LOGICPROBE(BIG)

 在元件选择完成并搭建好电路后,仿真电路如下所示:

(2)仿真运行

I 测试数据  A15~A0:1001100110011001     B15~B0:0110011001100110

预期结果:0000000000000000

II 测试数据   A15~A0:1110111011101110      B15~B0:1111111111111111

  预期结果:1110111011101110

(3)仿真结果分析:以上两组测试数据,仿真结果均与预期输出一致,该器件实现了16位与门的功能,具体通过对每一位的两个输入进行与运算,得到每一位的输出结果。

 

3.16位或门

(1)实验元件选择及电路图设计、搭建:

元件选择:AND_2、LOGICSTATE、LOGICPROBE(BIG)

 在元件选择完成并搭建好电路后,仿真电路如下所示:

(2)仿真运行

I 测试数据 C15~C0:1100110011001100   B15~B0:0011001100110011

预期结果:111111111111111

II 测试数据 C15~C0:1010101010101010  B15~B0:1100110011001100

   预期结果:1110111011101110

 

(3)仿真结果分析:测试的两组数据得到的输出结果均与仿真结果保持一致,可推知该电路实现了16位或门的功能,具体通过对每一位数据进行或运算得到最后的输出结果。 

4. 16位复用器

(1)实验元件选择及电路图设计、搭建:

元件选择:AND_2、LOGICSTATE、LOGICPROBE(BIG)、OR_2、NOT

 在元件选择完成并搭建好电路后,仿真电路如下所示:

 

(2)仿真运行

I测试数据 A0~A15:1001100110011001  B0~B15:0110011001100110  

SEL:0

预期结果:1001100110011001

SEL:1

预期结果:0110011001100110  

II测试数据 A0~A15:1110111011101110  B0~B15:0111011101110111 

SEL:0

预期结果:1110111011101110

SEL:1

预期结果:0111011101110111 

 

 

(3)仿真结果分析:通过两组数据的验证,在SEL信号分别为0和1的情况下,所得的结果均与预期结果一致,该电路实现了16位复用器的功能。具体是通过对两组输入信号的每个位数据进行复用器的运算,得到的结果串行得到16位复用器的输出结果。

五、实验习题

1.还可以怎样设计各种芯片的物理结构

TTL (Transistor-Transistor Logic) 结构

TTL是一种广泛使用的数字电路技术,使用具有高速开关特性和多功能的双极性晶体管(BJT)进行构建。它使用NPN的晶体管作为开关,PNP的晶体管作为输入保护器和输出驱动器。多个逻辑门可以在一个芯片上实现,并且它们可以互相连接以构成更复杂的电路。

CMOS (Complementary Metal Oxide Semiconductor)结构

CMOS是另一种广泛使用的数字电路技术,由N型金属氧化物半导体场效应晶体管(NMOS)和P型金属氧化物半导体场效应晶体管(PMOS)构成。CMOS技术非常节能,因为只有在信号变化时才会消耗电能。多个逻辑门可以在一个芯片上实现,并且它们可以互相连接以构成更复杂的电路。

FPGA (Field Programmable Gate Array) 结构

FPGA是一种可编程的数字电路,它基于CMOS技术构建,由大量的逻辑块、输入/输出块和连接器组成。FPGA可以在使用时进行编程,从而实现预期的功能。因此,它非常适合用于开发复杂的数字电路。

ASIC (Application-Specific Integrated Circuit) 结构

ASIC是一种专用集成电路,通常用于具有特殊要求的应用,例如高速图像处理、运算加速器、音频处理甚至是密码学应用等任务。ASIC不仅可以包含多个逻辑门,还可以包括内存、计时器、DMA控制器等其他功能模块。

总之,多位逻辑门构建可以通过以上几种芯片的物理结构来实现,每种芯片都有其自身的优缺点和应用范围,需要根据具体的需求进行选择。

2.“block copy”(块复制)和edit菜单中“copy to clipboard”的区别

在Proteus中,「block copy」和「copy to clipboard」两者都可以用来复制选定的电路图块,但是它们之间存在一些区别。

区别:

I:「block copy」是 Proteus 提供的一个编辑功能,它可以将选定的电路图块复制到同一个电路图或其他电路图。使用 「block copy」 后,会将电路图块从当前电路图中完全复制到目标区域或其他电路图,包括元件、线缆等。被复制的电路图块的所有元件名称、引脚及其功能都不会改变,但是被复制的元件之间的连线关系还需要进行适当的调整。

II:而「copy to clipboard」则是操作系统提供的复制命令,与Proteus的编辑命令略有不同。通过「copy to clipboard」可以将电路图块复制到系统的剪贴板中,然后可以在其他应用程序中(如文本编辑器或画图软件)进行粘贴。在Proteus中,使用「copy to clipboard」复制电路图块与使用「block copy」方式类似,但是「copy to clipboard」方式没有区分所选元件是一个完整的块,仅复制了所选元件以及与之相连的线缆,并没有直接复制元件之间的连接信息。

因此,总的来说,「block copy」和「copy to clipboard」都是将所选元件进行复制,但「copy to clipboard」方式更为灵活,可在Proteus应用程序以外的其他程序中粘贴使用;而「block copy」则更适合在Proteus内部对同一电路图或其他电路图中的元件及其闸两之间进行复制和分配。

六、自主设计--41多路选择器

1.实验原理

4选1多路选择器的主要组成部分是两个部分:数据输入端和控制输入端。其输入端有四个数据输入端(W0~W3),两个控制输入端(S0-S1),输出端只有一个(OUT_F)。当 S0 和 S1 的输入信号状态不同时,我们可以得到不同的控制序列,其对应的输出信号是 W0-W3 中的其中一个。例如,当输入信号为 S0=0,S1=0 时,输出信号 的值等于数据输入端 D0 的值;当输入信号为 S0=0,S1=1 时,输出信号 OUT_F的值等于数据输入端 W1 的值;以此类推。

在实验中,需要使用开关或跳线将 S0 和 S1 的输入信号状态设置为所需的控制序列,同时将 W0-W3 中的一个输入信号设置为高电平,其他的输入信号设置为低电平。然后通过观察 OUT_F 输出端的状态,可以验证该多路选择器是否按照预期操作。

总之,4选1多路选择器是一种简单而实用的数字电路元件,它可以根据控制序列将其中一个数据输入信号输出,并且可以应用于许多数字电路设计中。

2.实验元件选择及电路图设计、搭建

元件选择:AND_3、LOGICSTATE、LOGICPROBE(BIG)、OR_4、NOT

 在元件选择完成并搭建好电路后,仿真电路如下所示:

3.仿真运行

I  控制输入端S1S0=00  数据输入端W3W2W1W0=1110(任意)

   预期结果:0(输出W0)

II 控制输入端S1S0=01  数据输入端W3W2W1W0=0010(任意)

   预期结果:1(输出W1)

 III 控制输入端S1S0=10  数据输入端W3W2W1W0=1011(任意)

   预期结果:0(输出W2)

IV 控制输入端S1S0=11  数据输入端W3W2W1W0=0111(任意)

   预期结果:0(输出W3)

 以上四种控制输入端的输入情况,输出结果均与预期结果一致。

实验结论:上述设计的电路实现了4选1多路选择器的功能,其可以在多个输入信号中选择其中一个信号输出,并且其选择取决于设置在控制输入端的二进制数字组合。该元件非常适合用于数字电路设计中,特别是在需要多路选择的情况下。

七、实验心得

  1. 由于有实验一的基础,在此次实验的过程中,我对protues软件实验器件的使用和构建电路的过程更加熟练了,能够很快的完成所需的电路的设计与搭建。
  2. 由于本次实验涉及的都是16位的器件,在做本次实验时,很多的时间花在了电路的连接上面,同时还要对器件进行一定的命名和标记,十分考验耐心和细心。
  3. 经过本次对16位与或非门、复用器的设计,我对此方面的知识理解得到了强化,实验的具体思路都是通过对其中输入信号的每一位进行运算,然后将其串行并入,得到最后的输出结果。
  4. 在自主设计模块中,我选择了数电中经常出现的多路选择器,同时仅使用与或非门进行设计,通过设计控制输入端和数据输入端完成信号输入,输出的结果由二进制的控制信号决定。当输出端得到预期结果后,还是很兴奋的,感受到了实验的有趣。 

八、实验总结

在本次实验中,我进行了16位与或非门和16位复用器的设计,在设计过程中学习到了一种构建多位非门、与门、或门和复用器等器件的方法,即通过一位运算的输出结果串行得到多位器件的输出结果。在电路设计、构建过程中,对器件进行连线这一环节也是对耐心和细心很好的的考验。

在自主设计模块,我完成了四选一数据选择器的设计,并得到了预期的输出结果。同时此次实验,也让我对微机的基本组成和工作原理有了更深的理解!

2023-04-20 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/726357.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

在线OJ项目

1.在线OJ-背景介绍 在线的网页版的编程平台.,打开一个网站,上面就能看到很多的算法题.,在线做题,在线提交.立即就能看到运行结果,是否通过. leetcode 牛客等 一个在线OJ平台,核心功能: 能够管理题目(保存很多的题目信息:题干+测试用例)题…

FPGA软核调试方法

软核工程创建步骤 创建如下工程目录 bin目录:存放SDK工程生成的elf文件(Release编译模式) hdf目录:存放fpga工程师提供的的hdf文件 prj目录:工程目录(包含SDK工程源码) doc目录:文档目录 基于2018.2版本SDK建立工程 打开Xil…

Spring Boot中的CSRF攻击及预防

Spring Boot中的CSRF攻击及预防 什么是CSRF攻击? CSRF(Cross-site Request Forgery)跨站请求伪造,也称为“one-click attack”或“session riding”,是一种网络攻击方式,攻击者通过在受害者浏览器上欺骗或…

【redis】生产级部署

目录 环境部署 redis环境部署 redis多实例配置 构建redis cluster集群 cluster生产集群部署 Cluster集群故障切换 环境部署 1 、关闭防火墙 2 、准备两台虚拟机配置内容如下 redis-master 192.168.108.67 7000 redis-master01 7001 redis-master02 7002 redis-ma…

Vue2.0-3.0 入门到实战 - 初始及插件安装

1 创建view实例,初始化渲染 <!DOCTYPE html> <html lang"en"> <head><meta charset"UTF-8"><title>Title</title> </head> <body><div id"app">{{ msg }} </div><script type&…

第123天:内网安全-域防火墙入站出站规则不出网隧道上线组策略对象同步

#知识点&#xff1a; 0、防火墙组策略对象 1、OSI七层协议模型 2、正反向监听器说明 3、隧道技术分层协议 4、CS&MSF&控制上线-隧道技术&#xff1a;解决不出网协议上线的问题&#xff08;利用出网协议进行封装出网&#xff09; -代理技术&#xff1a;解决网络通讯不通…

arduino平台控制直流电机PID速度闭环控制编程实现

PID&#xff08;Proportional-Integral-Derivative&#xff0c;比例-积分-微分&#xff09;控制是一种常用的控制算法&#xff0c;可以用于实现直流有刷电机的速度闭环控制。PID控制器根据当前的误差&#xff08;期望速度与实际速度之差&#xff09;来计算输出&#xff0c;以调…

RabbitMQ系列(15)--死信队列的简介与死信队列和死信消费者的实现

1、死信的概念 死信&#xff0c;顾名思义就是无法被消费的消息&#xff0c;一般来说producer&#xff08;生产者&#xff09;将消息投递到broker或直接放到queue&#xff08;队列&#xff09;中&#xff0c;consumer&#xff08;消费者&#xff09;从queue&#xff08;队列&am…

Css基础:盒子模型

1.盒子模型的构成&#xff1a; 边框 外边距 内边距 实际内容 2.table表格的单元格之间的线太粗需要border-collapse:collapse;合并一下边框宽度 3.内边距 padding 4.外边距 margin 块元素水平居中的做法&#xff0c;margin:0 auto; 行内元素和行内块元素 水平居中做…

FreeRTOS ~(五)队列的常规使用 ~ (2/5)队列解决互斥缺陷

前情提要 FreeRTOS ~&#xff08;四&#xff09;同步互斥与通信 ~ &#xff08;2/3&#xff09;互斥的缺陷 举例子说明&#xff1a;利用队列解决前述的"互斥的缺陷"问题 static QueueHandle_t xQueueUARTHandle;/* 利用队列的写数据和读数据来做类似标志位的工作,类…

C++笔记之数组拷贝和vector拷贝

C笔记之数组拷贝和vector拷贝 code review! 文章目录 C笔记之数组拷贝和vector拷贝一.C数组拷贝1.使用循环2.使用std::copy算法3.使用std::array 二.C语言数组拷贝1.使用循环2.使用memcpy函数3.使用for循环和指针 三.CVector拷贝四.公众号&#xff1a;三戒纪元 博文摘抄——C…

Dynamsoft 条形码阅读器 10.0.0 Crack

Dynamsoft 条形码阅读器 10.0.0 将来自不同来源的图像数据转换为标准输入图像数据。 7月 06&#xff0c; 2023 - 10&#xff1a;32新版本 特征 SDK经过重构&#xff0c;与DynamsoftCaptureVision&#xff08;DCV&#xff09;架构集成&#xff0c;该架构包括&#xff1a; ImageS…

提升车道运行效率——远眺智慧可变车道控制系统

精细科学的交通组织是提升道路通行效率的有效途径。可变导向车道&#xff08;后文简称可变车道&#xff09;作为精细化交通组织的重要手段&#xff0c;能够有效地利用现有道路空间资源、提高道路通行效率。在交通拥堵日趋严重的情况下&#xff0c;其在保障交通畅通方面起着重要…

Cisco AnyConnect Secure Mobility Client 4.10.07062 (macOS, Linux, Windows)

Cisco AnyConnect Secure Mobility Client 4.10.07062 (macOS, Linux, Windows) Cisco Secure Client&#xff08;包括 AnyConnect&#xff09; 请访问原文链接&#xff1a;https://sysin.org/blog/cisco-anyconnect-4/&#xff0c;查看最新版。原创作品&#xff0c;转载请保…

warning LNK4098: 默认库“msvcrtd.lib”与其他库的使用冲突;请使用 /NODEFAULTLIB:library

1>LINK : warning LNK4098: 默认库“msvcrtd.lib”与其他库的使用冲突&#xff1b;请使用 /NODEFAULTLIB:library 1>LINK : warning LNK4098: 默认库“LIBCMTD”与其他库的使用冲突&#xff1b;请使用 /NODEFAULTLIB:library 知识背景&#xff1a; VC中有4个CRT链接库版…

java项目之九宫格日志网站(ssm+jsp+mysql)

风定落花生&#xff0c;歌声逐流水&#xff0c;大家好我是风歌&#xff0c;混迹在java圈的辛苦码农。今天要和大家聊的是一款基于ssm的九宫格日志网站。项目源码以及部署相关请联系风歌&#xff0c;文末附上联系信息 。 &#x1f495;&#x1f495;作者&#xff1a;风歌&#x…

读发布!设计与部署稳定的分布式系统(第2版)笔记21_实例层之配置

1. 导致运维失误的两大因素 1.1. 隐秘的连锁反应 1.2. 暗藏的高复杂度 1.3. 影响着配置属性 2. 配置 2.1. 配置属性是系统用户接口的一部分&#xff0c;供支持其开发和运维的人员使用 2.1.1. 最易被忽视 2.2. 生产级别的软件都有大量可配置的属性 2.2.1. 主机名 2.2.2…

el-breadcrumb面包屑详解

el-breadcrumb面包屑详解 封装面包屑组件 <template><div class"crumb"><el-breadcrumb separator"/"><template v-for"(item,index) in levelList"><el-breadcrumb-item :key"item_ index">{{item.na…

SQL Server 2012数据库允许远程连接设置

1、打开 SQL Server Management Studio 2、打开 Security 按照如下设置&#xff0c;然后点确定 3、打开SQL Server Configuration ManagerMent 4、如下图都设置为Enabled 6、sql server重启

寻找最佳同步云盘:如何选择适合您的高效、可靠的云端存储方案?

同步云盘是集备份、同步、共享于一体的软件&#xff0c;备受用户青睐。在这个信息化的时代&#xff0c;我们经常需要在不同的设备之间共享文件&#xff0c;而同步云盘正可以帮助我们在多台设备之间同步文件。不过目前市面上同步云盘众多&#xff0c;我们该如何选择同步云盘呢&a…