verilog HDL -生成块 - generate——endgenerate

news2024/9/24 7:15:38

参考:vrilog数字系统设计 夏宇闻 【第3版】 5.7

生成块理解

 
生成语句可以动态的生成verilog代码,方便参数化模块的生成,大大的简化程序的编写过程,常用于以下情况:

  • 对矢量中的多个位进行重复操作
  • 进行多个模块的实例引用的重复操作
  • 根据参数的定义来确定程序中是否应该包含某段Verilog代码

生成语句对primitive 和module 进行复制结构建模, 除了允许复制产生 primitive 和 module 的多个实例化,同时也可以复制产生多个net、reg、parameter、assign、always、initial、task、function。编写代码必须在关键字generate-endgenerate指定范围内 。

generate 语句有 generate-for、genreate-if 和 generate-case 三种语句。

generate-for 语句

  1. generate-for 语句必须用 genvar 关键字定义 for 的索引变量;索引变量必须是正整数,不可用“X”或”Z“或者“负值“。
  2. for 的内容必须用 begin…end 块包起来,哪怕只有一句;
  3. begin…end 块必须起个名字;
  4. genvar 变量可以声明在 generate 语句内,也可以声明在 generate 语句外。

例 1:例化多个module

genvar xdata_i;

reg [15:0] xdata_delay[xdata_delay_num-1:0];
reg xdata_en[xdata_delay_num-1:0];
reg [31:0] xdata_in[xdata_delay_num-1:0];

generate for(xdata_i=0; xdata_i<2; xdata_i = xdata_i + 1) begin: xdata_load_delay
    xdata_load_ram xdata_delay (
        .rst(~drophead_end), 
        .clk(sys_clk), 
        .delay(xdata_delay[xdata_i]), 
        .xdata_en(xdata_en[xdata_i]), 
        .xdata(xdata_in[xdata_i]), 
        .xdata_out(xdata_out_temp[xdata_i])
    );
    end

例化图示:
在这里插入图片描述

例 2:多层 generate 语句用法

module GENERATE_FOR_2;
localparam SIZE = 2;    
           
genvar i,j,k,l;

generate 
for(i=0; i<SIZE; i=i+1) begin:B1
    DEMO1 INST1();
    for(j=0;j<SIZE; j=j+1) begin:B2
        DEMO2 INST2();
        for(k=0; k<SIZE; k=k+1) begin:B3
            DEMO3 INST3();
        end
    end
    if(i>=0)
        for(l=0; l<SIZE; l=l+1)begin:B4
            DEMO4 INST4();
        end
end

endgenerate

endmodule

例化图示:
在这里插入图片描述

例3:定义局部变量以及赋值

本例实现3个方面:在 begin…end 内部定义局部变量,genvar 变量声明在语句内,实现赋值。

module generate_for_3#(
parameter DATA_WIDTH = 2
)(
input clk,
input rst_n,
input [DATA_WIDTH-1:0] a,
input [DATA_WIDTH-1:0] b,
input [DATA_WIDTH-1:0] c,
output [DATA_WIDTH-1:0] out
);
reg [DATA_WIDTH-1:0] sum_reg;
wire [DATA_WIDTH-1:0] sum;

generate

genvar i;

    for(i=0; i<DATA_WIDTH; i=i+1) begin: bit
        wire sum_temp; // generated net declaration
        xor out1 (sum_temp,a[i],b[i]);
        and out2 (sum[i],sum_temp,c[i]);
        assign out[i] = sum[i];
        always @(posedge clk)begin
            if(!rst_n)
                sum_reg <= 0;
            else
                sum_reg <= sum;
        end
    end
endgenerate

endmodule

仿真结果如下图:
在这里插入图片描述
testbentch代码如下:

//~ `New testbench
`timescale  1ns / 1ps

module tb_generate_for_3;

// generate_for_3 Parameters
parameter PERIOD      = 10;
parameter DATA_WIDTH  = 2;

// generate_for_3 Inputs
reg     clk=0;
reg     rst_n=0;
reg   [DATA_WIDTH-1:0]  a                  = 0 ;
reg   [DATA_WIDTH-1:0]  b                  = 0 ;
reg   [DATA_WIDTH-1:0]  c                  = 0 ;

initial
begin
    forever #(PERIOD/2)  clk=~clk;
end

initial
begin
    #(PERIOD*2) rst_n  =  1;
end

generate_for_3 #(
    .DATA_WIDTH ( 2 ))
 u_generate_for_3 (
    .clk                       (clk ),
    .rst_n                       (rst_n ),
    .a                       ( a  [DATA_WIDTH-1:0] ),
    .b                       ( b  [DATA_WIDTH-1:0] ),
    .c                       ( c  [DATA_WIDTH-1:0] )
);
initial
begin
    a = 2'b01;
    b = 2'b11;
    c = 2'b10;
    #500;
    a = 2'b11;
    b = 2'b00;
    c = 2'b01;
    #500;
    a = 2'b10;
    b = 2'b01;
    c = 2'b00;
    #1500;
    $finish;
end

endmodule

generate-if 语句

generate-if语句根据条件不同产生不同的实例化:根据模块参数(常量)的条件是否满足来选择其中一段代码生成相应的电路

例:

module generate_if_1;

localparam SIZE = 3;

wire [7:0] o_tdata;
wire o_tvalid;

   generate
      if(SIZE>9)
	       DEMO1 inst_1();
      else if(SIZE==2)
	       begin
               assign o_tdata = 8'd255;
               assign o_tvalid = 1'd1;
	       end
	   else 
	       DEMO2 inst_2();
   endgenerate
   
endmodule

例化示意图如下:
在这里插入图片描述

generate-case 语句

用法跟 generate-if 语句一样,参数也必须是常数,只是采用 case 语句的形式。

例:

module generate_case;

localparam WIDTH = 3;

generate
    case(WIDTH)
        1:DEMO1 INST_1();
        2:DEMO2 INST_2();
        default: DEMO3 INST_3();
    endcase
endgenerate

endmodule

例化示意图如下:
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/713299.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Anacoda3中成功配置Tesseract-OCR超详细教程!!(win7、win10)

Anacoda3中成功配置Tesseract-OCR Tesseract-OCR简介安装及配置环境步骤1、在Anaconda虚拟环境中安装pytesseract第三方库2、下载tesseract-ocr安装包并安装3、环境配置检验是否安装成功修改pytesseract.py文件&#xff08;很重要&#xff01;&#xff09; Tesseract-OCR简介 …

C语言常用的数据转换函数

编程时&#xff0c;经常用到进制转换、字符转换。现整理记录如下&#xff1a; 一、字符串转十六进制 void StrToHex(char *pbDest, char *pbSrc, int nLen) {char h1,h2;char s1,s2;int i;for (i0; i<nLen/2; i){h1 pbSrc[2*i];h2 pbSrc[2*i1];s1 toupper(h1) - 0x30; …

ASP.NET Core MVC -- 控制器

添加控制器 访问测试 默认控制器访问index public string Index() {return "This is my default action..."; }特定访问路径 public string Welcome() {return "This is the Welcome action method..."; } 特定路径访问&#xff0c;带参数 public str…

查询Oracle当前用户下,所有数据表的总条数

需求&#xff1a;查询Oracle当前用户下&#xff0c;所有数据表的总条数 方法&#xff1a;存储过程 右键点击Procedures&#xff0c;点击New 点击OK 把存储过程写进去&#xff0c;然后点击编译运行&#xff1a; create or replace procedure tables_count ist_count numbe…

dolphinscheduler的switch组件

目录 一、背景 switch组件官方文档 Switch简介 创建任务 任务参数 二、实操DEMO SQL任务switch判断DEMO 第一步&#xff1a;新建SQL任务&#xff0c;配置好参数 第二步&#xff1a; 定义SWITCH节点 三、参考资料 默认任务参数 一、背景 Apache DolphinScheduler 是…

B站广告投放,B站有哪些投放广告的方式比较靠谱?

随着各视频平台发展&#xff0c;B站也逐渐壮大&#xff0c;成为当下最受年轻人喜爱的社交媒体平台之一&#xff0c;B站根据年轻人的喜好提供了多样化的产品和服务&#xff0c;如视频、直播、游戏、漫画、影业、演出活动、专栏等。形成了自己独特的up主文化。B站拥有着高黏性和高…

如何保证消息的可靠性传输?或者说,如何处理消息丢失的问题?

这个是肯定的&#xff0c;用 MQ 有个基本原则&#xff0c;就是数据不能多一条&#xff0c;也不能少一条&#xff0c;不能多&#xff0c;就是 前面说的重复消费和幂等性问题。不能少&#xff0c;就是说这数据别搞丢了。那这个问题你必须得考 虑一下。 如果说你这个是用 MQ 来传…

基于单片机心率脉搏心率血压体温血氧检测系统的设计与实现

功能介绍 本次设计通过32系列单片机STM32进行数据处理&#xff0c;配置引脚和JFC103传感器以及温度传感器进行数据通信。采用防水DS18B20进行腋下温度采集&#xff0c;通过单总线方式进行数据传输。心率血氧血压模块通过串口通信方式把采集到的数据发送给单片机&#xff0c;所有…

mac版本的xshell远程ssh工具

官网下载地址&#xff1a; https://www.royalapps.com/ts/win/download 注意&#xff1a;一定要保存(下载安装之后记得一定要点击&#xff1a;Apply & Close&#xff0c;保存设置) 使用

Transactional outbox pattern

文章目录 Transactional outbox pattern事件驱动架构(Event Driven Architecture, EDA)数据库事务和消息发布的一致性问题Transactional outbox如何解决数据事务和消息发布之间的一致性问题如何实现Transactional outbox pattern消息幂等性问题Transactional outbox pattern能保…

百万连接实现01:使用epoll+多线程+多IP地址管理tcp客户端集群

操作系统采用 <客户端IP : 客户端端口> : <服务端IP : 服务端端口> 四元组来标识一条TCP连接。 所以要想实现百万连接&#xff1a; 第一种是服务器端只开启一个进程&#xff0c;然后使用很多个客户端进程绑定不同的客户端 ip 来连接&#xff0c;假设 20个ip * 5w&a…

linux使用grep命令查询nginx的进程情况时总是出现 grep --color=auto nginx

问题&#xff1a; 每次使用ps aux | grep 服务名 命令查询某个服务的进程时&#xff0c;总会出现一条grep --colorauto 服务名 例如&#xff1a; ps aux | grep nginx # 会出现图片中的情况解答&#xff1a; 这是因为grep 也是一条命令&#xff0c;它在输出时&#xff0c;会…

《谈事说理》之《“脱节”的顶层设计》续集

欢迎收看本期《谈事说理》之《“脱节”的顶层设计》续集。当事人再次来到节目现场&#xff0c;为我们讲述近期事件的新发展。他与父母苦心经营的企业是否出现转机&#xff1f;我们一起来听听他的故事。 回忆事件经过&#xff0c;他的企业遭遇灭顶 当事人季博文&#xff08;化名…

Express-基础语法

介绍 Express是基于Node.js开发的第三方模块包&#xff0c;使用 Express&#xff0c;我们可以方便、快速的创建 Web 网站的服务器或 API 接口的服务器 参考 https://www.expressjs.com.cn/ 基本用法 安装 npm install express4.17.1 后面追加版本号&#xff0c;不写安装最新…

Docker 安装 MySQL 并使用 Navicat 连接

本文目录 1. 拉取 MySQL 镜像2. 创建并运行一个 MySQL 容器3. 验证MySQL容器是否创建并运行成功3.1 进入 MySQL 容器3.2 进入 MySQL3.3 查看 host 和 user 4. MySQL 开启远程访问权限4.1 切换数据库4.2 给 root 用户分配远程访问权限4.3 强制刷新权限 5. 服务器配置 3306 的开放…

iOS开发中的APP内活动之通用链接

首先&#xff0c;我们先来了解下APP内活动&#xff0c;这是苹果官方给的说明&#xff1a;https://developer.apple.com/cn/help/app-store-connect/offer-in-app-events/overview-of-in-app-events简单来说&#xff0c;就是我们在苹果后台开发者后台里填写关于自己APP内的一些具…

《MySQL》对表进行操作(DDL语句)

文章目录 &#x1f4a1;创建表&#x1f4a1;修改表&#x1f4a1;删除表 在了解下列语句前&#xff0c;先掌握一下指令 # 查看表内容 desc [表名] # 详细查看表内容 show create table [表名] \G&#x1f4a1;创建表 # 创建表 create table [表名] ([字段1] [类型1],[字段2] […

嵌入式 - UART使用进阶

UART – Advanced Features 概要 / Overview 最简单直接的使用UART接口的方式&#xff0c;是在轮循操作中来设置和处理UART接口。 轮询式UART的问题是轮询方式本身就是低效率的。 如果我们的UART被配置为115200的波特率和8N1&#xff0c;那么传输一个字符需要多长时间&#xff…

一款支持AI思维导图的AI助手——ChatMindAI

写在前面 Hello大家好&#xff0c; 我是【麟-小白】&#xff0c;一位软件工程专业的学生&#xff0c;喜好计算机知识。希望大家能够一起学习进步呀&#xff01;本人是一名在读大学生&#xff0c;专业水平有限&#xff0c;如发现错误或不足之处&#xff0c;请多多指正&#xff0…

“智慧水利”怎么建?这份智慧水利整体解决方案 值得参?

2021年&#xff0c;补齐水利信息化突出短板&#xff0c;提升强监管支撑能力。 2025 年&#xff0c;全面提升水利数字化、网络化水平&#xff0c;明显提升重点领域智能化水平。 2035 年&#xff0c;全面支撑水治理体系和治理能力现代化。 构建天空地一体化水利感知网&#xf…