2023上半年软考系统分析师科目一整理-07

news2024/9/20 8:43:32

2023上半年软考系统分析师科目一整理-07

  1. 信息资源规划(Information Resource Planning,IRP)是信息化建设的基础工程,IRP强调将需求分析与( )结合起来。IRP的过程大致可以分为7个步骤,其中( )步骤的主要工作是用户视图收集、分组、分析和数据元素分析;( )步骤的主要工作是主题数据库定义、基本表定义和扩展表定义;( )步骤的主要工作是子系统定义、功能模块定义和程序单元定义。

A.系统建模
B.系统架构
C.业务分析
D.流程建模

A.业务流程分析
B.数据需求分析
C.业务需求分析
D.关联模型分析

A.信息接口建模
B.数据结构建模
C.系统数据建模
D.信息处理建模

A.系统功能建模
B.业务流程分解
C.系统架构建模
D.系统业务重组

**信息资源规划(Information Resource Planning,IRP)**是信息化建设的基础工程,是指对企业生产经营活动所需要的信息,对产生、获取、处理、存储、传输和利用等方面进行全面的规划。 IRP强调将需求分析与系统建模紧密结合起来,需求分析是系统建模的准备,系统建模是用户需求的定型和规划化表达。IRP的主要过程如图所示。

在这里插入图片描述

  1. 结构化设计(StructuredDesign,SD)是一种面向( )的方法,该方法中( )是实现功能的基本单位。

A.数据流
B.对象
C.模块
D.构件

A.模块
B.对象
C.接口
D.子系统

**结构化设计(Structured Design,SD)**是一种面向数据流的方法,它以SRS和SA阶段所产生的数据流图和数据字典等文档为基础,是一个自顶向下、逐步求精和模块化的过程。SD方法的基本思想是将软件设计成由相对独立且具有单一功能的模块组成的结构,分为概要设计和详细设计两个阶段,其中概要设计的主要任务是确定软件系统的结构,对系统进行模块划分,确定每个模块的功能、接口和模块之间的调用关系;详细设计的主要任务是为每个模块设计实现的细节。

  1. 耦合表示模块之间联系的程度。模块的耦合类型通常可分为7种。其中,一组模块通过参数表传递记录信息属于( B)。一个模块可直接访问另一个模块的内部数据属于(A)。( A)表示模块之间的关联程度最高。
    A.内部耦合
    B.标记耦合
    C.数据耦合
    D.控制耦合

软件模块之间的耦合度从低到高排序为:
1.非直接耦合:两个模块之间没有直接关系,它们之间的联系完全是通过主模块的控制和调用来实现的。
2.数据耦合:一组模块借助参数表传递简单数据。
3.标记耦合:一组模块通过参数表传递记录信息(数据结构)。
4.控制耦合:模块之间传递的信息中包含用于控制模块内部逻辑的信息。
5.外部耦合:一组模块都访问同一全局简单变量而不是同一全局数据结构,而且不是通过参数表传递该全局变量的信息。
6.公共耦合:多个模块都访问同一个公共数据环境,公共的数据环境可以是全局数据结构.共享的通信区.内存的公共覆盖区等。
7.内容耦合:一个模块直接访问另一个模块的内部数据;一个模块不通过正常入口转到另一个模块的内部;两个模块有一部分程序代码重叠;一个模块有多个入口。

在这里插入图片描述

  1. 内聚表示模块内部各部件之间的联系程度,(C )是系统内聚度从高到低的排序。
    A.通信内聚、瞬时内聚、过程内聚、逻辑内聚
    B.功能内聚、瞬时内聚、顺序内聚、逻辑内聚
    C.功能内聚、顺序内聚、瞬时内聚、逻辑内聚
    D.功能内聚、瞬时内聚、过程内聚、逻辑内聚

软件模块内部的内聚程度从高到低排序为:
1.功能内聚:完成一个单一功能,各个部分协同工作,缺一不可。
2.顺序内聚:处理元素相关,而且必须顺序执行。
3.通信内聚:所有处理元素集中在一个数据结构的区域上。
4.过程内聚:处理元素相关,而且必须按特定的次序执行。
5.瞬时内聚(时间内聚):所包含的任务必须在同一时间间隔内执行。
6.逻辑内聚:完成逻辑上相关的一组任务。
7.偶然内聚(巧合内聚):完成一组没有关系或松散关系的任务。

在这里插入图片描述

  1. 随着对象持久化技术的发展,产生了众多持久化框架,其中,( B)基于EJB技术。(A )是ORM的解决方案。
    A.iBatis
    B.CMP
    C.JDO
    D.SQL

JAVA体系下,目前主流的持久化技术框架包括CMP、Hibernate、iBatis和JDO等。

  1. CMP 在J2EE架构中,CMP(Container-Managed Persistence,容器管理持久化)是由EJB容器来管理实体EJB 的持久化,EJB容器封装了对象/关系的映射和数据访问细节。CMP 和ORM的相似之处在于,两者都提供对象/关系映射服务,都将对象持久化的任务从业务逻辑中分离出来。区别在于CMP负责持久化实体EJB组件,而ORM负责持久化 POJO(Plain Ordinary Java Object,简单的Java对象),它是普通的基于 Java Bean 形式的实体域对象。 CMP模式的优点在于它基于EJB技术,是SUN J2EE体系的核心部分,获得了业界的普遍支持,包括各大厂商和开源组织等,如果选择它来进行企业级开发,技术支持会非常完备,同时其功能日趋完善,包括了完善的事务支持,EJBQL查询语言和透明的分布式访问等。CMP的缺点在于开发的实体必须遵守复杂的J2EE规范,而ORM没有类似要求,其灵活性受到影响;而且,CMP只能运行在EJB容器中,而普通POJO可以运行在任何一种Java环境中;尽管遵循J2EE的规范,但EJB的移植性比ORM要差。
  2. Hibernate Hibernate和iBatis都是ORM解决方案,不同的是两者各有侧重。
  3. iBatis iBatis提供Java对象到SQL(面向参数和结果集)的映射实现,实际的数据库操作需要通过手动编写SQL实现,与Hibernate相比,iBatis最大的特点就是小巧,上手较快。如果不需要太多复杂的功能,iBatis是既可满足要求又足够灵活的最简单的解决方案。
  4. JDO JDO(Java Data Object,Java数据对象)是 SUN 公司制定的描述对象持久化语义的标准API,它是Java对象持久化的新规范。JDO提供了透明的对象存储,对开发人员来说,存储数据对象完全不需要额外的代码(例如,JDBC API的使用)。这些繁琐的例行工作已经转移到JDO产品提供商身上,使开发人员解脱出来,从而集中时间和精力在业务逻辑上。 另外,JDO很灵活,因为它可以在任何数据底层上运行。JDBC只能应用于关系型数据库,而JDO更通用,提供到任何数据底层的存储功能,包括关系型数据库、普通文件、XML文件和对象数据库等,使得应用的可移植性更强。
  1. (C )的开发过程一般是先把系统功能视作一个大的模块,再根据系统分析与设计的要求对其进行进一步的模块分解或组合。(B)使用了建模的思想,讨论如何建立一个实际的应用模型,包括对象模型、动态模型和功能模型,其功能模型主要用(B)实现。
    A.面向对象方法
    B.OMT方法
    C.结构化方法
    D.Booch方法

A.状态图
B.DFD
C.类图
D.流程图

结构化方法假定待开发的系统是一个结构化的系统,其基本思想是将系统的生命周期划分为系统规划、系统分析、系统设计、系统实施、系统维护等阶段。这种方法遵循系统工程原理,按照事先设计好的程序和步骤,使用一定的开发工具,完成规定的文档,在结构化和模块化的基础上进行信息系统的开发工作。
结构化方法的开发过程一般是先把系统功能视为一个大的模块,再根据系统分析与设计的要求对其进行进一步的模块分解或组合。
OMT方法使用了建模的思想,讨论如何建立一个实际的应用模型,包括对象模型、动态模型和功能模型。对象模型描述系统中对象的静态结构、对象之间的关系、属性和操作,主要用对象图来实现;动态模型描述与时间和操作顺序有关的系统特征,例如,激发事件、事件序列、确定事件先后关系的状态等,主要用状态图来实现动态模型;
功能模型描述一个计算如何从输入值得到输出值,它不考虑计算的次序,主要用DFD来实现功能模型。
简单地说,功能模型指出发生了什么,动态模型确定什么时候发生,而对象模型确定发生的客体。

  1. 下列开发方法中,( B )不属于敏捷开发方法。
    A.极限编程
    B.螺旋模型
    C.自适应软件开发
    D.水晶方法

  2. 软件能力成熟度模型提供了一个软件能力成熟度的框架,它将软件过程改进的步骤组织成5个成熟度等级。其中,软件过程已建立了基本的项目管理过程,可用于对成本、进度和功能特性进行跟踪,说明软件已达到( D )成熟度等级。
    A.已定义级
    B.优化级
    C.已管理级
    D.可重复级

CMM的目的是帮助组织对软件过程进行管理和改进,增强开发与改进能力,从而能按时地、不超预算地开发出高质量的软件。CMM 的五个成熟度等级分别为初始级、可重复级、已定义级、已管理级和优化级。
1.初始级。
初始级是未加定义的随意过程,软件过程的特点是无秩序的,有时甚至是混乱的。软件过程定义几乎处于无章法和步骤可循的状态,软件产品所取得的成功往往依赖于极个别人的努力和机遇。
2.可重复级。
可重复级是规则化和纪律化的过程,软件过程已建立了基本的项目管理过程,可用于对成本、进度和功能特性进行跟踪。对类似的应用项目,有章可循并能重复以往所取得的成功。
3.已定义级。
已定义级是标准的和一致的过程,用于管理的和工程的软件过程均已文档化、标准化,并形成了整个软件组织的标准软件过程。全部项目均采用与实际情况相吻合的、适当修改后的标准软件过程来进行操作。
4.已管理级。
已管理级是可预测的过程,软件过程和产品质量有详细的度量标准。软件过程和产品质量得到了定量的认识和控制。
5.优化级。
优化级是持续改进的过程,通过对来自过程、新概念和新技术等方面的各种有用信息的定量分析,能够不断地、持续性地对过程进行改进。

在这里插入图片描述

  1. 描述企业应用中的实体及其联系,属于数据库设计的( B )阶段。
    A.需求分析
    B.概念设计
    C.逻辑设计
    D.物理设计

  2. 某企业信息系统采用分布式数据库系统,该系统中“每节点对本地数据都能独立管理”和“当某一场地故障时,系统可以使用其它场地上的副本而不至于使整个系统瘫痪”分别称为分布式数据库的( C)。
    A.共享性和分布性
    B.自治性和分布性
    C.自治性和可用性
    D.分布性和可用性

分布式数据库是由一组数据组成的,这组数据分布在计算机网络的不同计算机上,网络中的每个节点具有独立处理的能力(称为场地自治),它可以执行局部应用,同时,每个节点也能通过网络通信子系统执行全局应用。分布式数据库系统是在集中式数据库系统技术的基础上发展起来的,具有如下特点:
1.数据独立性。在分布式数据库系统中,数据独立性这一特性更加重要,并具有更多的内容。除了数据的逻辑独立性与物理独立性外,还有数据分布独立性(分布透明性)。
2.集中与自治共享结合的控制结构。各局部的DBMS可以独立地管理局部数据库,具有自治的功能。同时,系统又设有集中控制机制,协调各局部DBMS的工作,执行全局应用。
3.适当增加数据冗余度。在不同的场地存储同一数据的多个副本,这样,可以提高系统的可靠性和可用性,同时也能提高系统性能。
4.全局的一致性、可串行性和可恢复性。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/698422.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

微信小程序组件间通讯

1.父传子 1.1父组件 1.1.1wxml文件 <!-- 定义的my-props组件 --> <my-props count"{{count}}"></my-props> <!-- 分割线 --> <view></view> <view>父组件的count值:{{count}}</view> <button bindtap"ad…

一步一步学OAK之五:通过OAK相机实现边缘检测

目录 边缘检测简介Setup 1: 创建文件Setup 2: 安装依赖Setup 3: 导入需要的包Setup 4: 创建pipelineSetup 5: 创建节点创建相机节点创建边缘检测节点创建XLinkOut数据交互的节点 Setup 6:设置相关属性设置彩色相机的相关属性设置左侧和右侧的单目相机的相关属性设置边缘检测器的…

【计算机网络 - 第三章】运输层

目录 一、多路复用和多路分解 1、运输层端口号 2、概述 3、原理 1、无连接的多路复用与多路分解 - UDP 2、面向连接的多路复用与多路分解 - TCP 二、无连接运输——UDP用户数据报协议 1、UDP概述 2、UDP的优点 三、可靠数据传输原理 1、概述 2、rdt1.0——可靠信道上…

【AUTOSAR】BMS开发实际项目讲解(十一)----电池管理系统相关项功能安全要求

相关项功能安全要求 SG-BMS-1 : BMS系统应防止电池单体过充导致热失控&#xff08;ASIL C&#xff09; 功能框图&#xff08;SG-BMS-1&#xff09; 功能组件说明 功能组件ID 功能组件名称 描述 ASIL等级 FSC-FC-01 Detection Cell Volt&Temp 采集表征单体电压和温度的…

Qt中QCompleter自动补全功能

在Qt中有QCompleter这个类可以和QLineEdit组合实现自动补全功能&#xff0c;类似搜索框形式的&#xff0c; 1.QCompleter类可以在输入框输入字符时&#xff0c;进行提示可以匹配上的字符 例&#xff1a;为QLineEdit设置自动补全QLineEdit* pLineEdit new QLineEdit(this);QStr…

【算法】最长递增子序列:动态规划贪心+二分查找

文章目录 最长递增子序列解法一&#xff1a;动态规划解法二&#xff1a;LIS 和 LCS 的关系解法三&#xff1a;贪心 二分查找 相关题目673. 最长递增子序列的个数 https://leetcode.cn/problems/number-of-longest-increasing-subsequence/1964. 找出到每个位置为止最长的有效障…

29.组件库 Element UI

Element UI是PC端常用的组件库&#xff0c;支持vue2与vue3&#xff0c;vue2项目使用的叫 Element UI,vue3使用的叫 Elements Plus&#xff0c;官网地址 一个 Vue 3 UI 框架 | Element Plus 我们下面的代码都是以vue3为例 目录 1 安装 2 引入 3 使用 1 安装 2 引入 完…

快消EDI:联合利华Unilever EDI需求分析

联合利华&#xff08;Unilever&#xff09;是一家跨国消费品公司&#xff0c;总部位于英国和荷兰&#xff0c;在全球范围内经营着众多知名品牌&#xff0c;涵盖了食品、饮料、清洁剂、个人护理产品等多个领域。作为一家跨国公司&#xff0c;联合利华在全球各地都有业务和生产基…

el-table表单一键展开折叠,展开部分后一键全部展开或折叠

实现功能&#xff1a; 1.表单一键展开或者一键折叠 2.表单点击展开一部分后&#xff0c;再次点击展开或折叠按钮可以全部展开或全部折叠 3.完整代码在最后 1.建立el-table的树形结构 1.ref"table"&#xff0c;用节点绑定的方式实现 2.data&#xff1a;树形结构…

Redis系列--数据过期清除策略缓存淘汰策略

一、过期策略 一、前言 Redis 所有的数据结构都可以设置过期时间&#xff0c;时间一到&#xff0c;就会自动删除。可以想象里面有一个专门删除过期数据的线程&#xff0c;数据已过期就立马删除。这个时候可以思考一下&#xff0c;会不会因为同一时间太多的 key 过期&#xff0…

windows电脑设置每天自动关机

有时候我们需要我们的笔记本或者电脑在每天固定的时间自动关机&#xff0c;但是windows本身是没有带这个设置的&#xff0c;下面记录下如何设置电脑每天自动关机&#xff0c;无需安装任何第三方软件&#xff1b; 文章目录 一、设置自动关机程序二、取消自动关机三、Windows任务…

chatgpt赋能python:Python连接表

Python连接表 Python作为一种高级编程语言&#xff0c;可以用于各种各样的任务。其中之一就是连接表格数据。连接表是在数据管理中非常重要的概念&#xff0c;因为它可以将不同表格中的数据合并在一起&#xff0c;从而使我们能够更好地分析和理解数据。在这篇文章中&#xff0…

蓝桥杯专题-试题版含答案-【猜算式】【排列序数】【还款计算】【滑动解锁】

点击跳转专栏>Unity3D特效百例点击跳转专栏>案例项目实战源码点击跳转专栏>游戏脚本-辅助自动化点击跳转专栏>Android控件全解手册点击跳转专栏>Scratch编程案例点击跳转>软考全系列点击跳转>蓝桥系列 &#x1f449;关于作者 专注于Android/Unity和各种游…

探索Gradio的CheckboxGroup模块:交互式多选框组件

❤️觉得内容不错的话&#xff0c;欢迎点赞收藏加关注&#x1f60a;&#x1f60a;&#x1f60a;&#xff0c;后续会继续输入更多优质内容❤️ &#x1f449;有问题欢迎大家加关注私戳或者评论&#xff08;包括但不限于NLP算法相关&#xff0c;linux学习相关&#xff0c;读研读博…

详解金融机构敏捷营销落地:体系架构、关键技术和实施方法

本文根据 2023 年金融营销科技价值发现论坛中&#xff0c;神策数据金融首席架构师王仕的主题演讲整理所得&#xff0c;聚焦营销 5.0 理念下的敏捷营销&#xff0c;详细讲解金融机构落地时涉及到的体系架构、关键技术及实施方法。 根据国家发改委官网披露的数据&#xff0c;2022…

macOS编译AirMap开源全景图源码image-processing

1.克隆源码 git clone --recursive https://github.com/airmap/image-processing.git 2. 使用CLion打开CMakeLists.txt并做为工程打开 2.默认配置名为Default,可修改,下面的所有配置项都可改 3.点击OK后会自动生成

青翼自研--4通道DAC播放子卡产品数据手册

FMC131是一款4通道3GSPS采样率或者2通道6GSPS采样率16位DA播放FMC子卡模块&#xff0c;该板卡为FMC标准&#xff0c;符合VITA57.4规范&#xff0c;可以作为一个理想的IO模块耦合至FPGA前端&#xff0c;16通道的JESD204B接口通过FMC连接器连接至FPGA的高速串行端口GTH。插值模式…

突破性5G NTN技术,美格智能携手高通发布卫星物联网连接方案

通信技术的快速发展&#xff0c;使得万物互联成为现实&#xff0c;物联网深刻影响我们的生活方式。目前&#xff0c;全球物联网连接主要由WiFi、蓝牙和蜂窝网络等几类技术支撑。数据显示&#xff0c;蜂窝基站的陆地覆盖率约为20%&#xff0c;而海洋覆盖率则不到5%。 这意味着陆…

十大编程算法

算法一&#xff1a;快速排序算法 快速排序是由东尼霍尔所发展的一种排序算法。在平均状况下&#xff0c;排序 n 个项目要Ο(n log n)次比较。在最坏状况下则需要Ο(n2)次比较&#xff0c;但这种状况并不常见。事实上&#xff0c;快速排序通常明显比其他Ο(n log n) 算法更快&a…

uni-app/vue 文字转语音朗读(附小程序语音识别和朗读)

语音播报的实现的方法有很多种&#xff0c;我这里介绍集中不引用百度、阿里或者迅飞的API的实现方式。 一、采用new SpeechSynthesisUtterance的方式 废话不多说直接上代码 data() {return {utterThis:null,} },//方法使用this.utterThis new SpeechSynthesisUtterance(); …