数字IC前端学习笔记:仲裁轮询(五)

news2024/9/30 13:24:41

相关文章

数字IC前端学习笔记:LSFR(线性反馈移位寄存器)

数字IC前端学习笔记:跨时钟域信号同步

数字IC前端学习笔记:信号同步和边沿检测

数字IC前端学习笔记:锁存器Latch的综合

数字IC前端学习笔记:格雷码(含Verilog实现的二进制格雷码转换器)

数字IC前端学习笔记:FIFO的Verilog实现(一)

数字IC前端学习笔记:FIFO的Verilog实现(二)

数字IC前端学习笔记:仲裁轮询(一)

数字IC前端学习笔记:仲裁轮询(二)

数字IC前端学习笔记:仲裁轮询(三)

数字IC前端学习笔记:仲裁轮询(四)


7.带权重的轮询(第二部分)

        上一部分中我们对仲裁的第一种方法的第一种许可方式进行了Verilog设计,接下来将使用Verilog对第一种方法的第二种许可方式进行设计,即当所有用户发出请求时,授权序列为

 A,B,C,A,B,A     B,C,A,B,A,A ......

以下是代码及仿真结果。

module arbiter_wrr_2(clk,resetb,
                   req_vec,
                   req_vec_wt_0,
                   req_vec_wt_1,
                   req_vec_wt_2,
                   req_n_valid,
                   end_access_vec,
                   gnt_vec);
    input clk;
    input resetb;
    input [2:0] req_vec;
    input [3:0] req_vec_wt_0;
    input [3:0] req_vec_wt_1;
    input [3:0] req_vec_wt_2;
    input req_n_valid;
    input [2:0] end_access_vec;
    output [2:0] gnt_vec;
    
    reg [2:0] arbiter_state, arbiter_state_nxt;
    reg [2:0] gnt_vec, gnt_vec_nxt;
    reg [3:0] count_req_vec [2:0];
    reg [3:0] count_req_vec_nxt [2:0];
    wire [2:0] cnt_reqdone_vec;
    reg [2:0] relative_req_vec;
    reg [1:0] grant_posn, grant_posn_nxt;
    reg [2:0] relative_cntdone_vec;
    reg [3:0] req_vec_wt_stored [2:0];
    reg [3:0] req_vec_wt_stored_nxt [2:0];
    wire [3:0] req_vec_wt [2:0];
    
    parameter IDLE = 3'b001;
    parameter ARM_VALUE = 3'b010;
    parameter END_ACCESS = 3'b100;
    
    assign req_vec_wt[0] = req_vec_wt_0;
    assign req_vec_wt[1] = req_vec_wt_1;
    assign req_vec_wt[2] = req_vec_wt_2;

    always@(*) begin
        relative_req_vec = req_vec;
        relative_cntdone_vec = cnt_reqdone_vec;
        case(grant_posn)
            2'd0: begin relative_req_vec = {req_vec[0], req_vec[2:1]};
                   relative_cntdone_vec = {cnt_reqdone_vec[0],cnt_reqdone_vec[2:1]}; end
            2'd1: begin relative_req_vec = {req_vec[1:0],req_vec[2]}; 
                   relative_cntdone_vec = {cnt_reqdone_vec[1:0],cnt_reqdone_vec[2]}; end
            2'd2: begin relative_req_vec = {req_vec[2:0]}; 
                   relative_cntdone_vec = {cnt_reqdone_vec[2:0]};  end
        endcase
    end

    always@(*) begin
        arbiter_state_nxt = arbiter_state;
        gnt_vec_nxt = gnt_vec;
        count_req_vec_nxt[0] = count_req_vec[0];
        count_req_vec_nxt[1] = count_req_vec[1];
        count_req_vec_nxt[2] = count_req_vec[2];
        grant_posn_nxt = grant_posn;
        
        case(arbiter_state)
            IDLE:begin
                if(req_n_valid) begin
                    arbiter_state_nxt = ARM_VALUE;
                    count_req_vec_nxt[0] = req_vec_wt[0];
                    count_req_vec_nxt[1] = req_vec_wt[1];
                    count_req_vec_nxt[2] = req_vec_wt[2]; 
                    gnt_vec_nxt = 3'b0;   
                end        
            end
            ARM_VALUE:begin
                if((gnt_vec == 0)  ||
                   (end_access_vec[0] & gnt_vec[0]) ||
                   (end_access_vec[1] & gnt_vec[1]) ||
                   (end_access_vec[2] & gnt_vec[2])) begin
                    if(relative_req_vec[0] & !relative_cntdone_vec[0]) begin
                        arbiter_state_nxt = END_ACCESS;
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                            2'd1:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                            2'd2:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                        endcase  
                    end
                    else if(relative_req_vec[1] & !relative_cntdone_vec[1]) begin
                        arbiter_state_nxt = END_ACCESS;
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                            2'd1:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                            2'd2:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                        endcase                         
                    end
                    else if(relative_req_vec[2] & !relative_cntdone_vec[2]) begin
                        arbiter_state_nxt = END_ACCESS;
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                            2'd1:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                            2'd2:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                        endcase                
                    end
                    else begin
                        gnt_vec_nxt = 3'b0;
                        count_req_vec_nxt[0] = req_vec_wt_stored[0];
                        count_req_vec_nxt[1] = req_vec_wt_stored[1];
                        count_req_vec_nxt[2] = req_vec_wt_stored[2];
                    end
                end
            end
            END_ACCESS:begin
                if((end_access_vec[0] & gnt_vec[0]) ||
                   (end_access_vec[1] & gnt_vec[1]) ||
                   (end_access_vec[2] & gnt_vec[2])) begin   
                    arbiter_state_nxt = ARM_VALUE;
                    if(relative_req_vec[0] & !relative_cntdone_vec[0]) begin
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                            2'd1:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                            2'd2:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                        endcase  
                    end
                    else if(relative_req_vec[1] & !relative_cntdone_vec[1]) begin
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                            2'd1:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                            2'd2:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                        endcase                         
                    end
                    else if(relative_req_vec[2] & !relative_cntdone_vec[2]) begin
                        case(grant_posn)
                            2'd0:begin gnt_vec_nxt = 3'b001; 
                                       count_req_vec_nxt[0] =  count_req_vec[0] - 1'b1;
                                       grant_posn_nxt = 0; end
                            2'd1:begin gnt_vec_nxt = 3'b010; 
                                       count_req_vec_nxt[1] =  count_req_vec[1] - 1'b1;
                                       grant_posn_nxt = 1; end
                            2'd2:begin gnt_vec_nxt = 3'b100; 
                                       count_req_vec_nxt[2] =  count_req_vec[2] - 1'b1;
                                       grant_posn_nxt = 2; end
                        endcase                
                    end
                    else begin
                        gnt_vec_nxt = 3'b0;
                        count_req_vec_nxt[0] = req_vec_wt_stored[0];
                        count_req_vec_nxt[1] = req_vec_wt_stored[1];
                        count_req_vec_nxt[2] = req_vec_wt_stored[2];
                    end    
                end 
            end
        endcase
    end

assign cnt_reqdone_vec[0] = (count_req_vec[0] == 0);
assign cnt_reqdone_vec[1] = (count_req_vec[1] == 0);
assign cnt_reqdone_vec[2] = (count_req_vec[2] == 0);

always@(posedge clk, negedge resetb) begin
    if(!resetb) begin
        arbiter_state <= IDLE;
        gnt_vec <= 0;
        count_req_vec[0] <= 0;
        count_req_vec[1] <= 0;
        count_req_vec[2] <= 0;     
        req_vec_wt_stored[0] <= 0;
        req_vec_wt_stored[1] <= 0;
        req_vec_wt_stored[2] <= 0;           
        grant_posn <= 2;
    end
    else begin
        arbiter_state <= arbiter_state_nxt;
        gnt_vec <= gnt_vec_nxt;
        count_req_vec[0] <= count_req_vec_nxt[0];
        count_req_vec[1] <= count_req_vec_nxt[1];
        count_req_vec[2] <= count_req_vec_nxt[2];     
        req_vec_wt_stored[0] <= req_vec_wt_stored_nxt[0];
        req_vec_wt_stored[1] <= req_vec_wt_stored_nxt[1];
        req_vec_wt_stored[2] <= req_vec_wt_stored_nxt[2];           
        grant_posn <= grant_posn_nxt;        
    end
end
endmodule

        代码中使用了和公平轮询类似的代码,不过此时还使用了relative_cntdone_vec信号来保存各个用户的剩余请求次数,注意:一旦出现了空档期,则所有用户的剩余请求次数会重置。

以上内容来源于《Verilog高级数字系统设计技术和实例分析》 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/687367.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

聚焦云原生安全|安全专家揭秘如何强化容器威胁检测能力

4月15日&#xff0c;由极狐主办的“当效率遇上安全 一起开启质效升级之旅”活动顺利开展。 作为国内云原生安全领导厂商&#xff0c;安全狗受邀出席此次活动。 厦门服云信息科技有限公司&#xff08;品牌名&#xff1a;安全狗&#xff09;成立于2013年&#xff0c;致力于提供云…

【中危】BootCDN 投毒风险

漏洞描述 BootCDN是免费的前端开源项目 CDN 加速服务&#xff0c;通过同步cdnjs仓库&#xff0c;提供了常用javascript组件的CDN服务。 多个开发者发现在特定请求中&#xff08;如特定Referer及移动端user-agent&#xff09;会返回包含指向union.macoms.la地址的恶意js文件&a…

快速提取地形图坐标到Revit建立场地模型?

一、快速提取地形图坐标到Revit建立场地模型? 1、打开免费三维地形软件&#xff1a; 2、定位项目位置&#xff1a; 3、按步骤提取坐标及高程&#xff1a; 4、保存为csv文件格式&#xff0c;打开CSV文件&#xff0c;删除第一行&#xff1a; 5、打开坐标转换软件&#xff0c;按步…

python3套接字编程之socket和socketserver(TCP和UDP通信)

socket和socketserver是python3中socket通信模块&#xff0c;关于其使用做如下总结。 目录 1.socket 1.1模块引入 1.2套接字获取 1.3套接字接口 1.3.1 服务端 1.3.2 客户端套接字函数 1.3.3 公共套接字函数 1.3.4 面向锁的套接字方法 1.3.5 面向文件的套接字的函数 …

Python注释解密、变量大揭秘,数据类型轻松入门!

文章目录 前言注释单行注释多行注释 变量数据类型1.整型&#xff08;int&#xff09;2.浮点型&#xff08;float&#xff09;3.布尔型&#xff08;bool&#xff09;4.字符串&#xff08;str&#xff09;5.列表&#xff08;list&#xff09;6.元组&#xff08;tuple&#xff09;…

RabbitMQ高可用集群部署

文章目录 1.RabbitMQ常见的集群模式2.部署基于镜像队列模式的RabbitMQ高可用集群2.1.镜像队列集群原理2.2.分别在两台机器中部署RabbitMQ2.2.1.基础环境配置2.2.2.安装Erlang环境2.2.3.部署RabbitMQ并开启管理界面2.2.4.配置RabbitMQ各节点变量信息2.2.5.访问RabbitMQ后台管理系…

vue3基础------ 下

目录 二.vue3基础 5.事件处理器 5-1 事件处理器 - 告别原生事件 5-2 事件修饰符 - 事件偷懒符? 6.表单控件绑定 6-1表单输入绑定-一根绳上的蚂蚱 6-2购物车案例 6-3表单修饰符 7.计算属性 7-1计算属性-很聪明&#xff0c;会缓存 7-2 可写计算属性 7-3之前案例的小改…

ModaHub魔搭社区:向量数据库MIlvus服务端配置(三)

目录 gpu 区域 logs 区域 metric_config 区域 gpu 区域 在该区域选择是否在 Milvus 里启用 GPU 用于搜索和索引创建。同时使用 CPU 和 GPU 可以达到资源的最优利用&#xff0c;在特别大的数据集里做搜索时性能更佳。 若要切换到 CPU-only 模式&#xff0c;只要将 enable 设…

【敬伟ps教程】色彩基础

文章目录 在通道内发现色光吸管工具与颜色面板在RGB通道创造色彩色彩三要素选择方式CMYK模式详解 在通道内发现色光 RGB基于色光的混合模式&#xff0c;是最常见的色彩模式 我们新建一个 RGB 画布&#xff0c;前景色改为黑色&#xff0c;AltDelete填充前景色。我们查看图像–…

实验篇(7.2) 18. 星型安全隧道 - 分支互访(IPsec) ❀ 远程访问

【简介】Hub-and-Spoke&#xff1a;各分支机构利用VPN设备与总部VPN设备建立VPN通道后&#xff0c;除了可以和总部进行通讯&#xff0c;还可以利用总部VPN设备互相进行数据交换&#xff0c;而各VPN分支机构不需要进行VPN的隧道连接。 实验要求与环境 OldMei集团深圳总部部署了域…

C# 线程基础 二

目录 八、前台线程和后台线程 九、线程参数的传递 十、线程中的 lock 关键字 十一、Monitor类锁定 结束 八、前台线程和后台线程 默认情况下&#xff0c;显式创建的线程是前台线程&#xff0c;通过手动的设置 Thread 类的属性 IsBackground true 来指示当前线程为一个后…

让GPT-3、ChatGPT、GPT-4一起做脑筋急转弯,GPT-4一骑绝尘!

作者 | python 一个烙饼煎一面一分钟&#xff0c;两个烙饼煎两面几分钟&#xff1f; 让你来回答&#xff0c;是不是一不小心就掉到沟里了&#xff1f;如果让大语言模型来做这种脑筋急转弯会怎样呢&#xff1f;研究发现&#xff0c;模型越大&#xff0c;回答就越可能掉到沟里&a…

VScode连接远程服务器

VScode连接远程服务器 文章目录 VScode连接远程服务器下载扩展通过扩展连接服务器在输入框中输入usernameip进行连接通过已保存的配置信息进行连接 连接成功之后访问服务器文件访问文件 下载扩展 下载以下三个扩展 Remote-SSH Remote - SSH: Editing Configuration Files R…

Docker Network 基础

一、是什么 Docker网络是Docker容器之间和容器与外部网络之间的通信和连接的一种机制。在Docker中&#xff0c;每个容器都可以有自己的网络栈&#xff0c;包括网络接口、IP地址和网络配置。Docker网络提供了一种灵活且可定制的方式&#xff0c;使得容器之间可以相互通信&#x…

【单元测试】Junit 4(二)--eclipse配置Junit+Junit基础注解

目录 1.0 前言 1.1 配置Junit 4 1.1.1 安装包 1.1.2 创建Junit项目 1.2 Junit 4 注解 1.2.1 测试用例相关的注解 1.2.1.1 Before 1.2.1.2 After 1.2.1.3 BeforeClass 1.2.1.4 AfterClass 1.2.1.5 Test 1.2.1.6 Ignore 1.2.1.7 示例 1.2.2 打包测试Suite相关的注解…

JAVA工程打包

目录 一、工程代码和第三方依赖包分开 二、工程代码和第三方依赖包打入同一个jar包 1、工程的class文件和依赖的第三方jar包所包含的class文件打进同一个jar包中。部署时&#xff0c;直接部署该jar包即可。 2、如果是springboot工程&#xff0c;可以将工程的class文件和依赖…

iOS多语言解决方案全面指南

本文以及相关工具和代码旨在为已上线的iOS项目提供一种快速支持多语言的解决方案。由于文案显示是通过hook实现的&#xff0c;因此对App的性能有一定影响&#xff1b;除了特殊场景的文案显示需要手动支持外&#xff0c;其他任务均已实现自动化。 本文中的部分脚本代码基于 Chat…

OpenShift 4 - 可观测性之用 Network Observability Operator 对网络流量进行监控观测(视频)

《OpenShift / RHEL / DevSecOps 汇总目录》 说明&#xff1a;本文已经在支持 OpenShift 4.12 Loki Operator 5.7.2 Network observability 1.2.0 的环境中验证 文章目录 Network Observability 相关组件和架构安装 Network Observaility 功能安装 Operator配置对象存储配置 …

Scrapy的基本使用

目录 Scrapy是什么 安装 使用 获取更多页面信息 写入数据库 图片下载 文件下载 更改文件名称以及路径 更改图片名称以及路径 循环获取页面信息时&#xff0c;item的数据重复或者对不上 下载文件时获取文件流直接上传到某个地方 Scrapy是什么 Scrapy 是一个基于 Pyth…

园区自然人代开果真那么好?可以解决成本票缺失吗?

园区自然人代开果真那么好&#xff1f;可以解决成本票缺失吗&#xff1f; 《税筹顾问》专注于园区招商、企业税务筹划&#xff0c;合理合规助力企业节税&#xff01; 自然人代开也就是指个人跟公司发生业务往来的时候&#xff0c;公司要求个人开具发票&#xff0c;进行入账&am…