FPGA_学习_12_IP核_FIFO

news2024/9/27 15:23:29

FIFO(Frist Input Frist Output),即先入先出,也是一种存储器,一般做数据缓冲。FIFO和 RAM的共同点在于都能存储数据、都有控制写和读的信号;不同点在于 FIFO 没有地址,所以不能任意指定读取某一个数据,数据只能按照数据输入的顺序输出,即先入先出,并且读写可以同时进行。如果数据把 FIFO 的深度写满了,数据将不能再进去,也不会覆盖原有的数据;读 FIFO 的数据也只能读一遍,读完一遍 FIFO 就空了,需要再往 FIFO 写数据才能读出新数据,否则读出的数据一直是最后一次读 FIFO 时的数据。

FIFO一般用在跨时钟域,和高速输入数据的缓冲。异步 FIFO 有两个时钟信号,读和写接口分别采用不同时钟,这两个时钟可能时钟频率不同,也可能时钟相位不同,可能是同源时钟,也可能是不同源时钟。 在现代逻辑设计中,随着设计规模的不断扩大,一个系统中往往含有数个时钟,多时钟域带来的一个问题就是,如何设计异步时钟之间的接口电路。异步 FIFO 是这个问题的一种简便、快捷的解决方案,使用异步 FIFO 可以在两个不同时钟系统之间快速而方便地传输实时数据。

本文主要实现:复位过后,打开FIFO的写使能,往FIFO里面写数据,写了一段时间,或者说写了一定的数据量后,我们开启读使能。然后观察信号的变化。

1 FIFO IP核原理

下图所示的是一个简单的异步 fifo 的示意图。在写端口有写时钟、写使能和待写入的数据。在读端口有读时钟,读使能和读出的数据。此外还有 fifo 的empty/full信号,当fifo 中写满数据的时候,full 信号拉高,当fifo 中数据全部读出后empty信号拉高。

 写时序

 读时序1

标准模式-输出信号会延迟一个周期

读时序2

 First-word Fall-Through模式不会延迟一个周期

2 FIFO IP核配置步骤

(Vivado 赛灵思)

截图warning

 

 

 

 

 

 

 

3 测试代码 

`timescale 1ns / 1ps

module fifo_test(
        input   wire            clk     ,
        input   wire            rst_n   ,
        output  wire     [7:0]  data_out
);


//==================================================================
//                        Parameter define
//==================================================================

parameter       MAX             = 256 - 1;
parameter       RD_START        = 128 - 1;


//==================================================================
//                        Internal Signals
//==================================================================
reg     [7:0]   din             ;
reg             wr_en           ;
reg             wr_flag         ;
reg             rd_en           ;
wire    [7:0]   dout            ;
wire            full, empty     ;
reg     [7:0]   wr_cnt          ;
reg             rd_start        ;

assign data_out = dout;

IP_FIFO inst_FIFO (
        .wr_clk(clk),           // input wire wr_clk
        .rd_clk(clk),           // input wire rd_clk
        .din(din),              // input wire [7 : 0] din
        .wr_en(wr_en),          // input wire wr_en
        .rd_en(rd_en),          // input wire rd_en
        .dout(dout),            // output wire [7 : 0] dout
        .full(full),            // output wire full
        .empty(empty)           // output wire empty
);

//----------------------------- wr_flag -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                wr_flag <= 1'b1;
        end
        else if (wr_cnt == MAX && wr_flag == 1'b1) begin
                wr_flag <= 1'b0;
        end
        else if (empty == 1'b1) begin
                wr_flag <= 1'b1;
        end
        else begin
                wr_flag <= wr_flag;
        end
end

//----------------------------- wr_en -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                wr_en <= 1'b0;               
        end
        else begin
                wr_en <= wr_flag;  
        end
end

//----------------------------- wr_cnt -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                wr_cnt  <= 'd0;             
        end
        else if (wr_en == 1'b1) begin
                if (wr_cnt == MAX) begin
                        wr_cnt <= 'd0;
                end
                else begin
                        wr_cnt <= wr_cnt + 1'b1;
                end
        end
        else begin
                wr_cnt <= 'd0;
        end
end

//----------------------------- din -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                din  <= 'd0;             
        end
        else begin
                din <= wr_cnt;
        end
end

//----------------------------- rd_start -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                rd_start <= 1'b0;
        end
        else if (wr_cnt == RD_START) begin
                rd_start <= 1'b1;
        end
        else begin
                rd_start <= 1'b0;
        end
end

//----------------------------- rd_en -----------------------------
always @(posedge clk or negedge rst_n) begin
        if (rst_n == 1'b0) begin
                rd_en <= 1'b0;             
        end
        else if (rd_start == 1'b1) begin
                rd_en <= 1'b1;
        end
        else if (empty == 1'b1) begin
                rd_en <= 1'b0;
        end
        else begin
                rd_en <= rd_en;
        end
end
endmodule

4 仿真代码

`timescale 1ns/1ps

module tb_fifo_test (); /* this is automatically generated */

    parameter      MAX = 256 - 1;
    parameter RD_START = 128 - 1;

    // clock
    reg clk;
    reg rst_n;
    wire [7:0] data_out;

    initial begin
        clk <= 1'b1;
        forever #(10) clk = ~clk;
    end

    // asynchronous reset
  
    initial begin
        rst_n <= 1'b0;
        #200
        rst_n <= 1'b1;
    end



    fifo_test #(.MAX(MAX), .RD_START(RD_START)) inst_fifo_test (.clk(clk), .rst_n(rst_n), .data_out(data_out));

endmodule

5 仿真结果

(使劲双击可以看得清楚大图)

 

仿真结果有个小小的疑问,就是rd_en拉高后,过了两个时钟周期输出数据才变成1,说明读了2个0。 前面写数据的时候,由于din = 滞后一个周期的wr_cnt ,所以写了两个0进去。

我本以为是IP核的读模式不小心选成了标准模式,结果原因是din相比于wr_en滞后了一个时钟周期,因此我往fifo里是写了两个0进去的。 如果我把IP例化时候din的位置用wr_cnt替换

IP_FIFO inst_FIFO (
        .wr_clk(clk),           // input wire wr_clk
        .rd_clk(clk),           // input wire rd_clk
        .din(wr_cnt),           // 这一行改了
        .wr_en(wr_en),          // input wire wr_en
        .rd_en(rd_en),          // input wire rd_en
        .dout(dout),            // output wire [7 : 0] dout
        .full(full),            // output wire full
        .empty(empty)           // output wire empty
);

则输出dout在rd_en拉高后,将不会读出两个0。 

 

 

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/671609.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

一个女孩从软件测试工程师到主管的成长

说实话&#xff0c;我做测试工作的时间不是很长&#xff0c;学完软件测试工程师的课程后&#xff0c;到现在也就是一年多的时间吧&#xff0c;不过&#xff0c;我愿意自己学习和工作中积累起的这些点滴与大家分享。 如果你想学习自动化测试&#xff0c;我这边给你推荐一套视频…

C语言之程序环境和预处理(1)

本章主要以图片和文字的形式给大家讲解 程序的翻译环境和程序的执行环境 在ANSI C的任何一种实现中&#xff0c;存在两个不同的环境。 第1种是翻译环境&#xff0c;在这个环境中源代码被转换为可执行的机器指令。 第2种是执行环境&#xff0c;它用于实际执行代码 2. 详解编译…

东南亚骑行类目热销品出炉!Shopee/Lazada跨境卖家有哪些优势产品可做?

菲律宾/巴西是Lazada/Shopee骑行品类卖家体量最大的2个站点&#xff0c;新加坡/越南/马来西亚紧随其后. 体量&#xff1a;在东南亚&#xff0c;菲律宾与新加坡对骑行的需求最强烈&#xff0c;其次是越南&#xff0c;三地总订单占总体70%以上&#xff0c;在南美地区&#xff0c…

Revit碰撞检查:Navisworks“复合对象碰撞”的使用

一、Navisworks 中碰撞检查中“复合对象碰撞”有什么用? 通常情况下我们使用 Revit 做好了模型&#xff0c;然后使用 Navisworks这款软件进行碰撞检查等优化工作。因为 Navisworks 相对于 Revit的软件数据要“轻”很多&#xff0c;可以让多专业的模型都在一起导入来进行全专业…

对接口进行限流?

在高并发的情况下&#xff0c;我们可以把消息放入队列&#xff0c;在从队列消费&#xff0c;达到限流的目的。但这里说的限流指的是当我们请求其他服务器接口&#xff0c;防止高并发下把对面服务器压垮&#xff0c;于是对我们要求每秒限制在100QPS。 如果使用springCloud可以用…

准备换工作跳槽面试人一定要看的的18条忠告

1、如果想好要跳槽就别犹豫&#xff0c;不用纠结太多外在因素&#xff0c;很多事情只有去做了才知道。&#xff08;当然&#xff0c;如果你是非某家公司不可的话&#xff0c;那可以慢慢等待机会&#xff09; 2、关于跳槽的渠道&#xff0c;主要有四种&#xff1a;直接被公司挖…

测试自动化的演进,从录制回放到对象映射

概要&#xff1a;在短时间的市场化和短期冲刺的文化中&#xff0c;测试人员通过使用测试自动化实践和工具保持同步是至关重要的。本文跟踪从基于脚本的测试与硬编码数据到自动化框架的转变&#xff0c;探索测试自动化的开始和到今天的演变 - 并且可能的未来走向。 今天的软件市…

Qt之QDial选择器

文章目录 前言一、QDial是什么二、操作api信号与槽示例代码总结 前言 Qt是一种流行的跨平台的C GUI应用程序开发框架&#xff0c;用于构建图形用户界面(GUI)和其他桌面应用程序。QDial是Qt框架中的一个小部件&#xff0c;用于创建旋转式的拨号器。本文将介绍如何使用QDial进行…

ChatGLM Efficient Tuning效率调试PEFT

ChatGLM Efficient Tuning 基于 PEFT 的高效 ChatGLM-6B 微调。 [ English | 中文 ] 更新日志 [23/06/05] 现在我们实现了 4 比特的 LoRA 训练&#xff08;也称 QLoRA&#xff09;。请尝试使用 --quantization_bit 4 参数进行 4 比特量化微调。&#xff08;实验性功能&#…

Echarts实现流程图关系图拓扑图

实现如下&#xff0c;可以横着排竖着排都可以 1.先写个div做画布 ref值随意&#xff0c;但是一点要写 <div style"height: 400px;" ref"echartdom"></div> 2.下载echarts 我这边下载的是 "echarts": "^4.9.0",最新版应…

奢侈品回收APP系统开发功能有哪些?

奢侈品售卖回收APP系统开发功能有哪些&#xff1f; 1.回收品牌分类&#xff1a;奢侈品回收APP平台可以将支持回收鉴定的奢侈品品牌及商品进行分类展示&#xff0c;方便用户查看自己的想要出售的是不是平台支持的商品。 2.商品在线检索&#xff1a;客户可以直接按…

STM32F4_红外遥控

目录 1. 红外遥控简介 2. NEC协议 3. 硬件设计 4. 实验程序详解 4.1 main.c 4.2 Remote.c 4.3 Remote.h 1. 红外遥控简介 红外遥控是一种无线、非接触的控制技术。具有抗干扰能力强&#xff0c;信息传输可靠&#xff0c;功耗低&#xff0c;成本低&#xff0c;易实现等优…

深入理解Redis的AOF和RDB持久化机制

Redis的AOF&#xff08;Append-Only File&#xff09;和RDB&#xff08;Redis Database&#xff09;是两种常见的持久化机制&#xff0c;用于将内存中的数据保存到磁盘上&#xff0c;确保数据在Redis重新启动时的持久性。本文将深入介绍AOF和RDB的原理和使用&#xff0c;帮助读…

HQChart实战教程65-自定义手机端分时图tooltip显示数据

HQChart实战教程65-自定义手机端分时图tooltip显示数据 手机端分时图tooltip步骤1. 配置手机端tooltip2. 替换k线tooltip格式化输出函数2. 格式化输出函数说明HQChart插件源码地址完整的demo源码手机端分时图tooltip hqchart手机端内置一个tooltip,显示手势所在K线的信息。默认…

邮件打开率低?来看看这几招提高邮件打开率!

什么是邮件打开率&#xff1f; 邮件打开率&#xff1a;简单来讲就是收件人打开的邮件数占发送邮件总数的百分比。 我们要做的就是如何吸引收件人打开邮件&#xff0c;那可以从以下几个方面来考虑&#xff1a; 1、邮件标题 邮件标题直接向收件人表达了这封邮件是关于什么的&am…

CSS样式优先级怎样划分?【CSS优先级规则】

定义CSS样式时&#xff0c;经常出现两个或更多样式规则应用在同一元素上的情况。此时CSS就会根据样式规则的权重&#xff0c;优先显示权重最高的样式。CSS优先级指的就是CSS样式规则的权重。在网页制作中&#xff0c;CSS为每个基础选择器都指定了不同的权重&#xff0c;方便我们…

【内存问题真的很烦人】linux内存等资源管理 以及 linux内存不足解决办法

linux内存不足解决办法 ///这一部分存在疑问 查看目录下文件夹大小 du -h --max-depth1 看具体哪个文件夹占用内存过高&#xff0c;一般是日志&#xff0c;删除即可。 ///这一部分存在疑问&#xff0c;上面的文件夹可以代表内存吗&#xff1f; 内存不够 top 命令 看内存占用…

Python就业前景如何?三大就业岗位分享

Python是一门面向对象的编程语言&#xff0c;编译速度超快&#xff0c;从诞生到现在已经20来个年头了。Python的排名从去年开始就借助人工智能持续上升&#xff0c;Python的火热&#xff0c;也带动了工程师们的就业热。 据统计&#xff0c;现在初级Python工程师的起薪一般在10…

【 Lucas-Kanade光流法】

这里写目录标题 1.1 Lucas-Kanade光流法1.1 Lucas-Kanade光流法详细步骤&#xff1a; 1.1 Lucas-Kanade光流法 Lucas-Kanade光流法是一种密集光流估计方法&#xff0c;用于计算图像中每个像素的运动向量。它假设在相邻帧之间&#xff0c;像素的灰度值不会发生大的变化&#xf…

《网络安全0-100》双钥加密体制

双钥加密体制 怎么说 没找着公钥加密在哪&#xff0c;所以就接着写了。 公钥加密&#xff0c;也叫非对称(密钥)加密&#xff0c;属于通信科技下的网络安全二级学科&#xff0c;指的是由对应的一对唯一性密钥(即公开密钥和私有密钥)组成的加密方法。它解决了密钥的发布和管理…