FPGA基础知识-编程语言接口

news2024/10/7 4:29:42

目录

学习目标:

学习内容:

1.PLI的使用

2.PLI任务的连接和调用

3.内部数据的获取

4.PLI库子程序

学习时间:

学习产出:


学习目标:

解释在Verilog仿真中如何使用PLI子程序。

描述PLI的用途。

定义用户自定义系统任务和函数以及用户自定义C子程序。

理解用户自定义系统任务的连接和调用。


从概念上解释在 Verilog 仿真器内部如何表示PLI


区别并描述怎样使用两类PLI库子程序:access子程序和 utility子程序。

学习如何创建用户自定义系统任务和函数,并学习如何在仿真中使用它们。


学习内容:

1.PLI的使用

由于PLI允许用户自己定义实用工具来存取(读、写或修改)表示设计的内部数据结构,因此它具有强大的能力,可以对Verilog语言的功能进行扩展。PLI具有很多种用途,如下所示:

PLI可用于定义其他系统任务和函数。典型的例子有监控任务、激励任务、调试任务和复杂操作等,这些任务和操作难以用标准的 Verilog 结构实现。

一些应用软件.比如翻译器和延迟计算工具,可以用PLI编写。

PLI可用于提取设计信息,比如层次.互连、扇出以及特定类型逻辑元件的数目等。 PLI可用于编写专用或自定义的输出显示子程序。波形观察器可用它生成波形、逻辑互连、源代码浏览器和层次信息。

为仿真提供激励的子程序也可以用PLI编写。激励可以自动生成或者从其他形式的激励转换而来。

普通的基于Verilog 的应用软件可以用PLI子程序编写。这种软件可以与任何Verilog 仿真器—起工作,因为PLI接口提供了统一的存取方式。

2.PLI任务的连接和调用

      设计者可以通过使用PLI库子程序来编写自定义的系统任务。然而,Verilog 仿真器必须知道用户自定义系统任务和相应的用户自定义C函数的存在。这是通过把用户自定义系统任务连接到Verilog仿真器来实现的。

      为了理解这个过程,以-个简单的系统任务$hello_verilog 为例进行说明。当$hello_verilog这个任务被调用时,它只是简单地输出一条消息“Helo Verilog World”。首先,实现该任务的C子程序必须用PLI库予程序定义。文件 hello_verilog.c中的子程序hello_verilog 如下所示

module hello—top
 initial $hello_verilog;
endmodule

3.内部数据的获取

在我们理解怎样使用PLI库子程序之前,首先需要理解在仿真器内部设计是如何表述的。每个模块被看做一组对象类型。对象类型是 Verilog 中定义的元素,例如:

模块实例、模块端口、模块的端到端路径以及模块之间的路径·顶层模块

原语实例和原语端口( terminal )

线网类型( net )、寄存器类型( register )、参数类型( parameter和specparam )整型、时间型和实型变量

时序检查

命名事件

4.PLI库子程序

PLI库子程序提供了对表示设计的内部数据结构进行存取的标准接口。为定义用户自己的系统任务而编写的用户自定义C子程序是用PLI库子程序编写的。在13.2 节的例子中,Shello._verilog是用户自定义系统任务,hello_verilog 是用户自定义C子程序,io_printf是PLI库子程序。

PLI库子程序有两大类:存取子程序和实用子程序。注意,vpi_子程序是存取子程序和实用子程序的扩展集合,未在本书中讨论。


学习时间:

提示:这里可以添加计划学习的时间

20230620


学习产出:

提示:这里统计学习计划的总量

      PLI接口提供了一组C语吉接口子程序来读出、写入和提取设计的内部数据结构信息。设计者可以编写自己的系统任务来完成各种实用功能。
      PLI接口可用于监视器、调试器、格式翻译器、延迟计算工具、自动激励生成器、转储文件生成器和其他实用工具。
      用户自定义系统任务由相应的用户自定义C子程序实现。C子程序调用PLI库函数。

     ·通知仿真器把一个新的用户自定义系统任务与相应的用户C子程序联系起来的过程称为连接。仿真器不同,连接的过程也不相同。
      用户自定义系统任务与标准的Verilog系统任务调用方式类似.例如$heilo_verilog()的调用与标准的系统任务调用一致。该用户自定义系统任务启动时,相应的用户自定义C子程序hello_verilog被执行。
       在Verilog仿真器中,用多个对象集合组成的--个庞大数据结构来表示设计。可以通过PLI库子程序来存取内部数据结构。
       存取子程序( acc)和实用子程序( tf)是两类PLI库子程序。
       实用子程序代表了第一代 Verilog PLI。实用子程序用于在用户C子程序和原始Verilog 设计之间来回传输数据。

       实用子程序以前缀tf_开头。实用子程序与对象处理互不影响。·存取子程序代表了第二代Verilog PLI。

       存取子程序可以读写设计的特定对象的信息。存取子程序以前缀acc_开头。存取子程序主要用在用户C子程序和内部数据表示的接口上。存取子程序与对象处理相互影响。
      值变链接(VCL)是---类特殊的存取子程序,它们可以监视设计中的对象。当被监视的对象发生变化时,就执行最终用户子程序.

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/668466.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

DSIN(Deep Session Interest Network)详解

1. 提出动机 这个模型依然是研究如何更好地从用户的历史行为中捕捉到用户的动态兴趣演化规律。DIEN存在一个问题,就是只关注了如何去改进网络,而忽略了用户历史行为序列本身的特点,我们仔细去想,用户过去可能有很多历史点击行为&…

kafka硬件选择以及如何在生产中优化各个组件的参数

硬件选择: 1.求出一天kafka会产出大概多少的消息,然后平均到每一秒,要多少的消息,然后一条消息大概就是0.5-2k的大小,求出,每秒占用多少内存 2.求购买服务器数量(上边求出的效率 * 副本数/100)1 如果除不…

2022 开源社年度报告:打开新世界

2022 年被认为是充满挑战的一年,对于开源社而言也不例外。开源社同样面临着外部环境的不断变化,然而,正是开源的力量和开放协作的模式,让我们能够在这个极端情况下做出卓越的回应。 大家对于开源社的组织架构充满好奇,…

下载插件-4K Video Downloader

这款插件小编自己还是蛮喜欢的,有兴趣的小伙伴可以体验学习下。 一、简单介绍 提供视频下载服务,让您以高质量的方式获取所需内容。无论是视频、播放列表、频道还是字幕,您都可以轻松下载并保存为多种格式,如MP4、MKV、M4A、MP3、…

C++基础(8)——类和对象(多态)

前言 本文主要介绍了C中多态的基本知识 4.7.1:多态的基本概念和原理剖析 1:基本概念 静态多态:函数重载、运算符重载 动态多态:派生类和虚函数实现运行时多态 静态多态在编译阶段确定函数地址;动态多态在运行阶段…

RN 学习小记之使用 Expo 创建项目

本文Hexo博客链接🔗 https://ysx.cosine.ren/react-native-note-1 xLog链接🔗 https://x.cosine.ren/react-native-note-1 RSS订阅 📢 https://x.cosine.ren/feed/xml 由于业务需要,开始学习RN以备后面的需求,而虽然之…

《后端存储实战课》课程学习笔记(二)

创建和更新订单时,如何保证数据准确无误? 订单系统是整个电商系统中最重要的一个子系统,订单数据也就是电商企业最重要的数据资产。一个合格的订单系统,最基本的要求是什么?数据不能错。 首先,你的代码必须…

2023年度编程排行重磅发布,JS连续11年霸占榜一

编程语言千千万,你最喜欢用哪一个? 最近,在调研了全球超过9万名开发者之后,程序员社区 Stack overflow 重磅发布了《2023 Developer Survey》,从这份报告中,我们可以了解到当前最热门的工具、最主流的技术…

每日学术速递6.5

CV - 计算机视觉 | ML - 机器学习 | RL - 强化学习 | NLP 自然语言处理 Subjects: cs.CV 1.Humans in 4D: Reconstructing and Tracking Humans with Transformers 标题:4D 中的人类:用变形金刚重建和跟踪人类 作者:Shubham Goel, Geor…

深入理解Linux虚拟内存管理(九)

系列文章目录 Linux 内核设计与实现 深入理解 Linux 内核 Linux 设备驱动程序 Linux设备驱动开发详解 深入理解Linux虚拟内存管理(一) 深入理解Linux虚拟内存管理(二) 深入理解Linux虚拟内存管理(三) 深入理…

STL容器——map的用法

0、概述 map翻译为映射,map可以将任何基本类型(包括STL容器)映射到任何基本类型(包括STL容器)。下面是map、multimap和unordered_map之间的差别。 注意这三种映射的底层实现,他决定了算法的时间复杂度。特…

Java+Demo对接中国移动 云MAS短信发送(http协议详解,新测成功!)

一.登录官网,下载http接入文档(随着官网不断更新,可参考官网的文档) 官网地址为:云mas业务平台 进入云MAS管理平台,找到 管理-接口管理 的列表页。 (必读:本文对接方式是 java引用jar包&#…

【MYSQL篇】一文弄懂mysql中redo log、binlog

前言 今天想跟大家聊聊关于 mysql 中的两个小的知识点:redo log 和 binlog 。 redo log :InnoDB 存储引擎层方面的日志,所以如果你使用的存储引擎不是 InnoDB 的话,那就根本谈不上 redo log。 binlog : MySQL Serve…

SpringBoot整合模板引擎Thymeleaf(2)

版权声明 本文原创作者:谷哥的小弟作者博客地址:http://blog.csdn.net/lfdfhl 概述 Thymeleaf十分类似于JSP中使用的EL表达式。整体而言,Thymeleaf简洁、优雅、高效;非常适合小型项目的快速开发。 Thymeleaf常用标签简述 在此…

多商户商城开发功能清单

电商时代下,传统企业商家急需拓展业务规模,向线上拓展,而多商户小程序造价低,效应高,自然就成为了很多企业商家拓展线上营销渠道的首要选择,那么多商户小程序商城涵盖哪些功能呢?下面小编就来详细为大家解答&#xff…

每日学术速递6.8

CV - 计算机视觉 | ML - 机器学习 | RL - 强化学习 | NLP 自然语言处理 Subjects: cs.CV 1.BundleSDF: Neural 6-DoF Tracking and 3D Reconstruction of Unknown Objects(CVPR 2023) 标题:BundleSDF:未知对象的神经 6-DoF 跟踪和 3D 重建 作者&am…

5年功能测试,“我“一进阶自动化测试拿到了24k的offer...

目录:导读 前言一、Python编程入门到精通二、接口自动化项目实战三、Web自动化项目实战四、App自动化项目实战五、一线大厂简历六、测试开发DevOps体系七、常用自动化测试工具八、JMeter性能测试九、总结(尾部小惊喜) 前言 什么?…

Linux下工作常用命令

Linux系统下常用的查找文件命令,可以方便地根据文件名、文件类型、文件大小等条件来查找指定文件。以下是一些常用的find示例: 1. 根据文件名查找文件 find / nsme “*.log”目录下查找所有以.log结尾的文件 [rootlocalhost ~]# find / -name "…

vite vs babel+webpack | 创建一个简单的vite项目打包运行

有babel、webpack这些优秀的框架,为什么使用vite? 因为vite编译快,启动快,使用简单,还自带一个热更新重启的服务器,vite能够自动的帮我打包所用到的依赖,有些依赖只有用到才会导入,不用到不会…

卷起来了,阿里最新出品“微服务全阶笔记”,涵盖微服务全部操作

近两年,“大厂裁员”总是凭实力冲上各大媒体头条,身在局中的我们早已习以为常。国内的京东,阿里,腾讯,字节,快手,小米等互联网公司都以不同程度的裁员比例向社会输送人才。大量有大厂经验的卷王…