VPX通信基础理论

news2024/10/6 6:00:18

新型VPX(VITA 46)标准是自从VME引入后的25年来,对于VME总线架构的最重大也是最重要的改进。它将增加背板带宽,集成更多的I/O,扩展了格式布局。

目前,VME64x已经不能满足国防和航空领域越来越高的性能要求和更为恶劣环境下的应用。许多应用,例如雷达,声纳,视频图像处理,智能信号处理等,由于受到VME64x传输带宽的限制,系统性能无法进一步提高。急需要一种新体制的总线,替代现有的VME64x总线,以提高系统传输带宽。

VPX标准概述

VITA 46基础标准由VITA46.0(基础协议)和VITA46.1(VME连接)描述,也称为VPX,并成功地于2006年引入。这是一个里程碑,因为我们可以确信VITA46标准已经设计和实现出来了。下一步是完成最终文档,并且提交ANSI(美国国家标准化组织)得到正式ANSI批准。

VPX高速串行总线

新串行交换结构技术使得军用和航空嵌入式计算机系统得到更高的性能,同时减少系统成本和重量。如今有多种高性能交换结构技术可供选择。这其中的三个——Gigabit Ethernet (GbE), Serial RapidIO (SRIO), and PCI Express (PCIe)尤其突出,优点最多。GbE是基于IP数据通信的标准,无论是平台间网络还是在同一个背板中的子系统。SRIO是DSP应用中高密度多处理簇互联的最好方式。第三种,PCIe事实上已经是核心处理器到外围设备高带宽数据流传输应用的标准。

因为不可能有一种网络交换技术可以满足国防和航空嵌入式应用领域中所有的需求,所以业界各大特种计算机公司提出了分层(hierarchy)解决方案——使用GbE作为平台间网络互联,并且使用SRIO和PCIe作为底板总线交换网络互联。使用这种方式,国防和航空系统集成商可以在他们系统中应用交换结构技术。

GbE,SRIO以及PCIe各有优势,如果将这些交换结构结合在一起应用于嵌入式军用系统中,将形成一种新的能强大的结构。经过应用,主要的芯片,板子大量真实评估,以及主板整体设计,一种被称为VPX新的高性能底板问世。无论客户应用采用分布的、集中的,还是混合的网络拓扑结构,这种存在多种网络交换的计算平台,允许用户选择最合适的网络来满足系统需求设计。

GbE可以应用于松散耦合系统的链接,SRIO, PCIe,或两个结合使用适合于处理器,外围设备以及板卡之间的紧密耦合通信簇。用户可以使用1/10GbE交换网络建立Intra-Platform Network(IPN)来有效的传输IPv4/v6信息包,用户可以使用标准的电缆连接不同的系统,或者通过标准底板进行板子与处理器间传输。SRIO更适用于组建网状拓扑结构的数字信号处理器应用,PCIe更适用于核心处理器到外围设备的高带宽数据传输。

VPX总线是VME技术的自然进化,它采用高速串行总线替代并行总线是其的最主要变化。VPX采用RapidIO和Advanced Switching Interconnect等现代的工业标准的串行交换结构,来支持更高的背板带宽。这些高速串行交换可以提供每个差分对250MBytes/sec的数据传输率。如果4个信道最高1 GBytes/sec的理论速率。VPX的核心交换提供32个差分对儿,组成4个4信道端口,每个信道都是双向的(一发送差分对儿,一接收差分儿)。VPX模块的理论合计带宽为8 GB/sec。

当今基于VME总线雷达系统阵列中的每个系统处理器,都必须等待轮到该处理器获得总线后才能发送数据。这样不仅仅使处理器终止了对当前数据块的处理,同时还终止了处理器对输入数据的处理。

交换结构使所有数据流畅通无阻,来解决这一问题,这样减小了处理延迟和输入数据流的中断。

 StarFabric是一个串行转换结构,利用现有的VME-64背板连接嵌入式多处理器。可是,VME64X接口的物理特性限制限制了它将来的发展。在VITA46开发以前,雷达系统开始面临主卡的性能的制约。VME主卡其中两个最严重的限制是每个插槽上通过信号针的数据量限制,以及严重的功率浪费。VITA46通过采用高速连接器和支持先进的交换结构,着重解决了这两个问题。

由于采集的数据频率越高,图像效果越好。随着雷达数据管道变得越来越大,VPX将成为解决这些新需求的新技术。

1.2 VPX接插件

     VPX采用了由Tyco公司开发出了模块化的VPX RT2连接器,该连接器内含可控阻抗,低插入损耗,在最高6.25 Gbaud下,串扰小于3%。Tyco公司生产的独特的新7排RT2连接器,与级联块儿和键一起,实现VITA 46模块和背板设计。VITA 46选择RT2连接器的目的是为了解决以下问题:

--- 连接器必须可以发送信号至少5 Gbits/sec

--- 连接器必须提供充足的I/O,适应现代主卡上日益增加的功能。

---连接器的尺寸必须能够满足VME标准长度,以便可以安装PMC模块,能够保证0.8英寸的板间距。

---连接器系统必须足够牢固,这样在军事/航空系统的恶劣环境中才能应用。

VITA 46模块插入和拔出力量与VME64X模块相近。这是因为虽然VITA46拥有更多的接触点,但是Tyco公司的MultiGig RT2连接器使得每个接触点压力降低而又能保证充分的接触。以上结论都是建立在连接器机械结构评估和测试基础上得来的。

VITA 46 工作组对最终交付使用的VPX连接器,为VPX模块标准做了大量的测试认证。这些测试再现了一些最苛刻的环境测试。

主要环境参数测试包括如下:

- 振动及颤动

- 温度

- 适度

- 沙尘

- 耐久

- 静电保护

1.3 VPX的I/O能力

     VPX拥有着更多的I/O能力,其数量几乎是64X类型卡的两倍。所有的I/O针都有千兆传输能力,最高到6.25 Gig/Sec。并且有辅助的VITA 48标准选择,使得每个插槽可以插更高功率的板子。与传统的VME技术比VPX的针脚数要多,一般的6U VPX模块可以提供:

总共707个非电源电触电

总共464个信号:

64个信号,用于核心交换的32个高速差分对

104个信号,用于实现VME64的

268个通用用户I/O,其中包括128个高速差分对。

28个信号,用于当作系统信号(重启,JTAG,寻址等),其余未使用。

VPX提供最高32个网络交换针,这些针的作用:

---得到更多的吞吐量

---提升性能

--实现网状拓扑结构

---减少插槽数

---无需交换插槽

VITA 41

VITA 41是为了满足高速数据总线需求,为10 GigE,Serial RapidIO,PCI Express,和高级转换连接等下一代高速串行互联开发的协议。这些串行协议的共同特点是都可以运行在2 Gbps。在这样的速度下,标准的VME总线连接器不能工作的。

与此同时,VITA 41特别注意了与老的VME硬件和老的VME主板的兼容问题。VITA 41背板仍然采用J1和J2连接器作为传统的VMEbus,不同的是它采用Tyco公司的7排RT2连接器代替原来的J0连接器。RT2连接器是一个高速差分连接器,提供30个差分对,其中16对作为高速连接定义。J0其它插针,其中一个针用于支持live insertion,剩下的保留将来使用(RFU)。

图1展示了20插槽的背板,背板上拥有两个交换卡。VITA 41卡采用一个中央交换调度(芯片)进行板间通信。16对差分信号被分为两个双向4信道串行端口。一个端口都连接VITA 41背板其中一个交换卡上,另一个解决连接器到另一个交换卡上。这样在其中一个集中交换模块失效时,还有另一个冗余通信路径。

厂商可以提供VITA-41,用在客户定制背板上。这可以满足需要很高带宽的应用,超出老的VME总线P0连接器2 Gbaud的限制。

图1

VITA 46

   VITA 46协议使用了类似又不尽相同的方式来解决带宽问题(参看图2)。相同之处在于它使用RT2连接器,但不同的是,所有连接器都使用RT2连接器,因此使得所有的连接都支持高速差分信号。VITA 46协议在J2定义了32个差分I/O对,而VITA 41只定义了16对。

这种结构提供了一些很有趣的能力。VITA 41设计为双冗余中央交换,而VITA 46允许用户设计出分布式的网状交换系统,因此不会出现由于单独路径,或者模块的失效而导致系统瘫痪的情况。网状拓扑的优势在于能够开发出更紧凑、占用更小空间的系统,因为不再需要VITA 41中的两个中心交换槽了。

在尝试提升VME总线模块的带宽能力过程中,VITA 41使用高速差分RT2连接器代替了VME总线J0连接器。然而,这导致了用户I/O针的数量大大减少,从205减少到110。VITA 46通过替换VME总线J0和J1连接器,全部采用RT2连接器。这样做有很明显的优势。最重要的优势是使用VITA46,用户的I/O数量从VITA 41的110个针增加到272个针。并且,这272个针中有256个是自定义的高速差分对儿,每个的数据传输速率可达10 Gbps。

为了利用这些附加的用户I/O针,VITA46.9定义了XMC和PMC用户针的协议映射。。

VITA 46还有一个超过VITA 41的优势。VITA 46其中的一个连接器P0,被设计为功能连接器。功能连接器连接电源,维护总线,和测试总线。电源支持:48 V @ 16 A 或者12 V @ 32 A,作为高功耗卡的主电源。

5 V @ 16 A 作为低功耗卡的主电源

+12 V @ 2 A 作为模拟以及PMC电压

?12 V @ 2 A作为模拟和PMC电压

3.3 V @ 2 A作为辅助电源使用

向后兼容

构造有效率系统的插槽数越多,就需要更多用户I/O,有多种向后兼容的方案。VITA 41和VITA 46都需要一个新的系统背板。VITA 41向后兼容的方案是使用传统的VME卡,但不使用VME总线上的J0连接器:VITA41采用VME协议的J1和J2连接器与老的VME总线卡通信。在这点上两个协议都是同样的。而VITA46的方案是使用一个混合背板,允许老的VME总线卡插入到系统中。

VITA41背板通过放弃VME总线J0连接器的方式,为老的VME卡提供兼容。如果老的卡使用J0连接器,VITA46背板必须要做一些修改,将老的VME总线模块与VITA41模块连接在一起。

VITA46背板拥有更多的插针数量,这一优点特别使用在小型系统中。

老的的3U VME总线系统不提供任何背板用户I/O。VITA46协议提供给系统用户3U解决方案,在VITA46 总线J1上给用户提供网状拓扑,允许用户使用J2作为用户I/O。

VITA46的J2采用的RT2查分连接器提供客户72个用户IO针。

VITA 48

VITA 48从本质上来说,补充了VITA46协议的其他功能。它采用VITA 46协议相同的连接器,并提供所有相同的带宽和用户I/O。除此之外,VITA48定义了二级维护协议,通过利用顶盖来保护模块电路。它同样定义了先进的制冷技术,例如液体循环制冷理论。

为了得到这些优势,VITA 48定义了每个模块的槽间距为1" (从0.8"增加到)。通过允许VITA 46模块插入VITA48背板和机箱,来实现向后兼容。

总结

三个新出现的协议各自有各自的特点,来解决不同的系统需求。表1将这些特性列出。

VME总线适用于系统内带宽要求不高的系统,在将来的很多年都会继续发展及应用。

VITA 41适合于需要比较高的系统内部带宽,同时不需要很多的背板I/O,系统物理空间也不受到限制的应用,这些系统多使用前面板I/O。

VITA 46适合于比较高的系统内部和背板带宽,同时在背板上需要大量的用户I/O针。VITA 46非常适合于系统物理尺寸受到限制的应用,3U VITA 46可在背板上提供用户I/O,而VITA41和VME总线没有。

VITA 48也同样适合于比较高系统内部和背板带宽,需要大量的用户I/O的应用。然而,其区别在于它为高功耗主板提供液体循环制冷机制。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/559926.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

老杨说运维 | 农商行数字化转型的误区与破局之道

为推动农村中小银行更好地满足人民银行《金融科技发展规划(2022—2025年)》及银保监会《关于银行业保险业数字化转型的指导意见》对银行数字化转型的相关要求,加快推进农村基层数字化治理,助力乡村振兴,农信银资金清算…

低成本副业:开发小程序商城攻略

随着互联网的普及和电子商务的兴起,越来越多的人选择做点副业,其中开发小程序商城是一个不错的选择。相比传统的实体店,小程序商城的成本更低,而且门槛更低,可以让更多的人参与到副业中来。那么,如何开发自…

SOLIDWORKS 认证考试简介

1、SOLIDWORKS 认证助理工程师-机械设计 (CSWA–Mechanical Design) 证书简介:通过CSWA 认证证明了您在 SOLIDWORKS 上的专长,即表示您已成功展示了使用 SOLIDWORKS 机械设计工具的能力,了解 SOLIDWORKS 中可帮助设计机械零部件的工具集。 考…

大模型时代的BERT 详解

最近在学习BERT。 简单说几句。 BERTBidirectional Encoder Representation from Transformer 作者是谷歌的团队 主要亮点是使用transformer和pre-trainning未标注的数据,训练出来一个通用的语言模型。 0:背景 视觉算法因为有ImageNet的存在&#…

Matlab --- 如何用matlab在三维坐标系中画一个三维向量

用MATLAB画三维向量 前两天,我在写Gram-Schmidt正交化的文章时,想到最好用matlab把还没有经过正交化计算的原始向量,和已经经过正交化处理后的正交基,在三维坐标系中,用图像的方式表示出来。这样可以更加直观的看到向量…

vue3实现动态菜单和动态路由和刷新后白屏处理

前言: 项目中,当每一个角色得到的界面不一致的时候,我们就不能使用静态菜单了,而是要从后端得到动态的菜单数据,然后动态的将菜单数据展示在界面上。 除了在界面展示,也还要将界面的路由动态添加&#xf…

档案管理流程,文件上传,文件解析流程

文件上传 先简单说下文件上传的步骤吧 控制层简单左下转发 PostMapping("uploadAndParsing") public ResponseResult uploadAndParsing(RequestParam("file") MultipartFile file){try {String accessToken Header.getAuthorization(request.getHeader(…

2022年度互联网平均薪资出炉~

近期,国家统计局发布2022年平均工资数据,互联网行业薪资再次成为大家关注的焦点。 在2022年分行业门类分岗位就业人员年平均工资中,信息传输、软件和信息技术服务业的薪资遥遥领先其他行业,为全国平均薪资水平的 1.78 倍&#xf…

H-buildX项目(学习笔记1.0)

记录一下自己的学习过程。 首先,下载H-buildX软件,直接度娘下载即可。 以前一直用的是vscode,这次做网上的项目用到了这个。 打开 就是这样的界面,首先介绍几个常用的功能 右上角的预览,也就是运行你的Vue项目的界面效…

2023华为OD机试(A卷+B卷)(Java C++ Python JS)真题目录 + 考点 + 通过率

文章目录 🚀前言华为OD刷题列表,每天十题,刷出算法新高度,刷出人生新际遇🔰刷题列表:华为OD机试真题(Java C Python JS) 🚀其他华为OD机试题清单 📝最后作者&…

【最新整理】一起看看86 个 ChatGPT 插件

今天我们来看看这86个插件都是做什么的? Shimmer:跟踪膳食并获得更健康生活方式的见解 World News:总结新闻头条 Bohita:用您能描述的任何图像制作服装 Portfolio Pilot:您的 AI 投资指南:投资组合评估…

跟着我学 AI丨五分钟了解人工智能的发展史

随着 ChatGPT 火出圈,又接二连三出现了文心一言、Midjourney、FireFly 等创新性的 AI 产品,互联网掀起的 AI 风暴已经席卷了全球各个角落。AI 究竟为什么这么强大?从什么时候开始冒出来的呢?今天我就带大家认识一下 AI 的发展史。…

软件设计师考试——面向对象设计模式分类

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 一、面向对象设计——设计模式的分类一、创建型模式二、结构型模式三、行为型模式 二、历年真题——面向对象设计模式分类2009上半年2009下半年2010上半年2010下半年…

深度学习神经网络学习笔记-多模态方向-12-DBpedia: A Nucleus for a Web of Open Data

摘要 DBpedia是一个社区努力从维基百科中提取结构化信息,并使这些信息在网络上可用。DBpedia允许您对来自维基百科的数据集提出复杂的查询,并将网络上的其他数据集链接到维基百科数据。我们描述了DBpedia数据集的提取,以及产生的信息如何在网…

img标签-访问绝对路径图片出现403的解决办法

img标签-访问绝对路径图片出现403的解决办法 图片请求显示403打不开 403是防止盗链的错误(这种设计,是api厂商正常保证自己的服务器不被刷流量) 方法一:使用no-referrer 这种方案不仅针对图片的防盗链,还可以是其他标签. 在前端…

「谷云科技」RestCloud新一代(智能)全域数据集成平台发布

5月18日,RestCloud在其成立六周年的当天,发布了“新一代(智能)全域数据集成平台”。 根据业内专家、学者和从业者通过实践和研究总结,数据集成大体可以分为4个阶段:早期阶段、数据集成软件工具、企业级数据…

chat gpt 中国镜像网站

随着人工智能技术的快速发展,自然语言处理对人们日常生活和工作中的应用变得越来越普及,其中机器翻译、自动问答、智能客服和语音识别等技术尤其受到欢迎。Chat GPT模型是其中一种被广泛使用的技术,由OpenAI开发,使用了Transforme…

【TCP】状态转换

TCP状态转换 这个图N多人都知道,它排除和定位网络或系统故障时大有帮助,但是怎样牢牢地将这张图刻在脑中呢?那么你就一定要对这张图的每一个状态,及转换的过程有深刻的认识,不能只停留在一知半解之中。下面对这张图的…

Blender 建模风扇(UV贴图、图像纹理、环境纹理、伽玛、Cycles渲染)

目录 1. 风扇建模1.1 风扇外壳1.2 风扇内壳1.3 前盖1.4 后盖1.5 风扇叶1.6 扇叶连接部分1.7 其他细节 2. UV、材质、渲染2.1 材质属性:图像纹理2.2 UV贴图2.3 基础材质2.4 伽玛值2.5 世界属性:环境纹理2.6 背景平面2.7 灯光、摄像机2.8 渲染属性2.9 渲染…

COCO-Annotator安装和使用 [非常详细]

COCO-Annotator安装和使用 1.所需环境 安装COCO-Annotator前需要先安装Docker,有需要可以参考这篇文章:Windows下安装docker 2.安装 在要安装的目录下进入cmd输入命令 git clone https://github.com/jsbroks/coco-annotatorcd命令进入文件夹 cd co…