AI周报(8.18-8.24)

news2024/9/24 3:19:41

AI应用-XGO-Rider: 全球首款轮腿式桌面 AI 机器人

中国的 Luwu 智能打造XGO-Rider 是全球首款轮腿式桌面 AI 机器人。这个小巧紧凑的机器人将轮式机器人的灵活性与腿式机器人的障碍处理能力相结合,可以全方位移动,轻松适应各种地形。

XGO-Rider 主要设计为教育用途,帮助人们学习编程和机器人技术。它也可以作为研发领域索新算法和技术的实验工具。除此之外,你也可以把 XGO-Rider 当作一个有趣的桌面伴侣,进行实时互动和娱乐。目前在 Kickstarter 上的众筹已接近尾声,目前众筹价为 $399 USD

  • AI驱动:借助 Raspberry Pi CM4 核心和 ChatGPT 集成,XGO-Rider 拥有强大的 AI 功能。它可以识别手势、面部、甚至人体骨架,并能够进行人体跟随移动。用户可与其进行无缝且直观的交互。
  • 开源赋能:XGO-Rider允许用户使用Blocky和Python进行编程为开发者配备了机器人操作系统(ROS)包
  • 自由跳舞:能够在各种地形障碍物上精确适应,还能通过IMU的反馈实时调整其位置以保持平衡XGO-Rider的轮子采用无刷电机直接驱动。电机内部集成编码器和FOC驱动控制器,具有0.2Nm峰值扭矩,满足机器人动态平衡与流畅运动需要。

AI人物-吴恩达 人工智能不是问题,而是解决方案

1976年出生于英国伦敦,是一位华裔美国籍计算机科学家和人工智能学者。2011年创建了谷歌大脑项目,通过分布式集群计算机开发超大规模的人工神经网络2014年5月,吴恩达加入百度,负责“百度大脑”计划,并担任百度公司首席科学家离开百度后,吴恩达创建了自己的AI公司DeepLearning.AI,并成为AI Fund风险投资基金的执行普通合伙人、计算机视觉初创公司Landing AI的创始人兼首席执行官

吴恩达关于AI的观点:

  • 许多行业的数据规模有限,而现有的AI算法多针对大数据开发。为了解决这一问题,建议开发适用于小数据的算法,如生成对抗网络(GAN)、GPT-3、自监督学习和迁移学习等
  • 与其争论哪些工作才算是真正的 Agent,不如承认系统可以具有不同程度的 Agentic 特性。
  • "Agent" 是一个名词,意味着一种非黑即白的二元划分,而 "Agentic" 则是一个形容词,代表着一种程度概念。
  • Agentic workflow 的核心在于将复杂任务分解成多个步骤,并通过循环迭代的方式逐步优化结果。这种工作方式更接近于人类解决问题的思维模式
  • Vision Agent 可以像一位经验丰富的程序员一样,根据用户的自然语言指令编写代码,完成各种视觉任务,例如目标检测、图像分割、视频分析等。

AI工具-Engram 非英语母语写作的好伙伴

Engram作为一款专为非英语母语者设计的AI英语写作工具,以其强大的AI校对、改写润色与翻译功能,正逐步改变着人们的英语写作方式。它不仅仅是一款工具,更是每一位英语学习者提升自我、突破语言障碍的得力助手。在未来的日子里,Engram将继续陪伴每一位用户,共同探索英语写作的无限可能。

1.AI智能校对

语法检查:自动检测文本中的语法错误,如时态不一致、主谓不一致等,并提供修正建议。

拼写检查:识别并纠正拼写错误,确保文本准确无误。

标点符号:检查标点符号的使用是否正确,包括逗号、句号、引号等。

2.改写润色

句子优化:根据语境和表达需求,自动调整句子结构,使表达更加清晰、流畅。

词汇替换:提供同义词或更贴切的词汇替换建议,丰富文本内容,避免重复使用同一词汇。

段落重构:对段落进行逻辑重构,确保信息层次清晰,读者易于理解。

3.集成写作环境

无缝集成:与常见的文字处理软件(如Word、Google Docs等)无缝集成,方便用户在写作过程中随时使用Engram的功能。

实时反馈:在用户写作时提供实时反馈,即时指出潜在的问题并给出改进建议。

官网入口:AI Writing Tool for Proofreading & Paraphrasing | Engram

AI书籍-《算法: AI 決定谁被录用、监控、升迁和解雇》

作者Hilke Schellmann是一位获得艾美奖的调查记者,同时也是纽约大学的新闻学教授。

有什么比一本讨论人力资源部门使用人工智能更无聊的呢?但如果你想了解人工智能的应用细节-这本书就是目前最棒的案例研究。人力资源领域是探讨人工智能(AI)应用的理想切入点,因为它覆盖了招聘、评估、监控和员工留存等多个实际业务环节。

舍尔曼的期望与失望

  • 初始期望:她起初对AI在职场中的应用抱有极大希望,认为它能够解决长期存在的人为偏见问题。
  • 最终失望:但随着研究的深入,她发现有些AI工具并不有效,甚至有些公司基于偏见性的算法做出了有害的雇佣决策。

舍尔曼的AI噩梦:

  • 在招聘决策中使用人脸识别及面向全员视频监控的趋势-比任何末日情境都更令人毛骨悚然,因为这些事情正在真实发生。
  • 有时候,这本书让我想起卓别林在《摩登时代》中遭遇的数位版本,我们的思维而非身体被复杂的齿轮拉扯扭曲。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2071218.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

如何使用ssm实现亿互游在线平台设计与开发+vue

TOC ssm118亿互游在线平台设计与开发vue 绪论 1.1研究背景 时代的发展,我们迎来了数字化信息时代,它正在渐渐的改变着人们的工作、学习以及娱乐方式。计算机网络,Internet扮演着越来越重要的角色,人们已经离不开网络了&#x…

AITDK SEO扩展:为网站优化提供一站式解决方案

AITDK SEO扩展:为网站优化提供一站式解决方案 想提升你的网站在搜索引擎中的排名?让我们来看看AITDK SEO扩展,它是你网站优化的得力助手!在这篇文章中,我将为你介绍AITDK SEO扩展的功能特点,以及它如何帮助…

RK3588 技术分享 | 在Android系统中使用NPU实现Yolov5分类检测-迅为电子

随着人工智能和大数据时代的到来,传统嵌入式处理器中的CPU和GPU逐渐无法满足日益增长的深度学习需求。为了应对这一挑战,在一些高端处理器中,NPU(神经网络处理单元)也被集成到了处理器里。NPU的出现不仅减轻了CPU和GPU…

前端——盒子模型

一个盒子的特点组成 外边距就是两个元素之前的距离 padding就是填充区的大小 从上开始 顺时针进行设置,没有则对称 也可以单独对某个方向进行设定,比如:padding-top border 边框区 符合属性 border-style 边框样式 border-color 边框颜色…

web前端之选项卡集合、动态添加类名、动态移除类名、动态添加样式、激活、间距、节流、tabBar

MENU input的checked属性(HtmlStyle)伪元素(HtmlStyleJavaScript)激活类(HtmlStyleJavaScript)vue伪元素 input的checked属性(HtmlStyle) 前言 代码段创建一个使用HTML和CSS实现的标签式内容切换组件。通过选择不同的标签,可以展示相应的内容。 代码段实现一个简洁…

掌握时间的艺术:Python的sched库深度解析

文章目录 掌握时间的艺术:Python的sched库深度解析背景:为何选择sched?什么是sched库?如何安装sched库?简单库函数使用方法1. 创建调度器实例2. 安排事件3. 取消事件4. 运行调度器5. 检查事件是否在队列中 场景应用1. …

iOS profiles文件过期如何更新

创建发布用的Certificates 首先进入到https://developer.apple.com/account页面选择【证书】进入【新建证书】页面 点击【新建证书】按钮: 根据需求选中对应的【证书类型】,我选的是【Apple Distribution】, 开发者证书选择【Apple Devel…

数码管进阶设计验证

前言 随着数字电路和嵌入式系统的广泛应用,数码管作为一种常见的显示设备,在各种电子产品中扮演着重要角色。数码管以其结构简单、显示清晰和成本低廉的特点,广泛应用于计数器、时钟、测量仪器等领域。然而,传统的数码管设计通常仅…

小梅哥 xilinx fpga VGA

module VGA_CTRL(Clk,Reset_n,Data,Data_Req,VGA_HS, //行VGA_VS, //场VGA_BLK, //数据有效的那一段VGA_RGB );input Clk;input Reset_n;input [23:0]Data;output reg Data_Req;output reg VGA_HS;output reg VGA_VS; output reg VGA_BLK;output reg [23:0]VGA_RGB;//{R[7:0]、…

Android常见界面控件(三)

目录 前言 列表控件ListView 常用属性 常用适配器 1.BaseAdapter 2.SimpleAdapter 3.ArrayAdapter 购物商城 选择菜品照片 创建布局文件 实现购物商城列表界面的显示效果 前言 在前面,我们已经讲了六个常用的界面控件和五个界面布局,那么本篇…

【HarmonyOS NEXT星河版开发实战】灯泡定时开关

个人主页→VON 收录专栏→鸿蒙综合案例开发​​​​​ 代码及其图片资源会发布于gitee上面(已发布) 所有与鸿蒙开发有关的知识点都会在gitee上面进行发布 gitee地址https://gitee.com/wang-xin-jie234 目录 前言 界面功能介绍 界面构建思路 头部 中间…

数据结构——二叉树经典OJ题

1.单值二叉树 单值二叉树:就是判断二叉树里的所有值是否都一样 bool isUnivalTree(struct TreeNode* root) {if(rootNULL)return true;//查找有没有左子树并且看左子树当前指向的值是否和根当前指向的值相等if(root -> left && root -> left -> v…

【三维室内数据集】ScanNet v2使用说明

【版权声明】本文为博主原创文章,未经博主允许严禁转载,我们会定期进行侵权检索。 参考书籍:《人工智能点云处理及深度学习算法》 本文为专栏《Python三维点云实战宝典》系列文章,专栏介绍地址“【python三维深度学习】python…

Python自动化:图片批量添加水印

前言 本文将讲述怎样通过Python自动化的方法,来对照片进行批量的加水印,从而能够有效地阻止他人的非法占有,提高工作的效率。 Python自动化:办公效率的革命 自动化解决方案 实现步骤 读取指定文件夹中的图片:打开…

YOLOv9改进策略【卷积层】| 利用MobileNetv4中的UIB、ExtraDW优化RepNCSPELAN4

一、本文介绍 本文记录的是利用ExtraDW优化YOLOv9中的RepNCSPELAN4,详细说明了优化原因,注意事项等。ExtraDW是MobileNetv4模型中提出的新模块,允许以低成本增加网络深度和感受野,具有ConvNext和IB的组合优势。可以在提高模型精度…

redis | 认识非关系型数据库Redis的哈希数据类型

Redis 非关 kv型 哈希通用命令python 操作hash应用场景 数据类型 数据类型丰富,字符串strings,散列hashes,列表lists,集合sets,有序集合sorted sets等等 哈希 定义 1、由field和关联的value组成的键值对 类似于python的键值对 2、field和value.是字符…

『功能项目』新输入系统【07】

我们打开上一篇06新输入系统项目, 本章要做的事情是摄像机跟随主角移动, 给主角增加一个Player标签方便主摄像机查找主角对象 在编辑场景调好角度,选择Main Camera对象按键盘Ctrl Shift F使运行场景与编辑场景相同 新建CameraCtrl脚本代码 …

秋招突击——8/16——字节广告业务——面经整理——二面挂

文章目录 引言一面面试内容基础知识一、Redis为什么进行AOF重写?二、AQS和Conditon的使用三、乐观锁和分布式锁有什么差异?频繁使用乐观锁行不行?四、Java的即时编译技术五、Java中的JVM调优是如何做的?六、Java中创建对象的流程&…

STM32——BKP备份寄存器RTC实时时钟

首先是理论知识Unix时间戳: 时间戳只显示秒,没有进位,永不进位的秒计数器,60秒就是60秒,100秒就是100秒,它可以和年月日/时分秒进行转换 优点:1、简化硬件电路(只需要考虑秒的容量…

C语言 猜数字游戏

目录 1. 随机数⽣成 1.1 rand 1.2 srand 1.3 time 1.4 设置随机数的范围 2. 猜数字游戏实现 游戏要求: 1. 电脑⾃动⽣成1~100的随机数 2. 玩家猜数字,猜数字的过程中,根据猜测数据的⼤⼩给出⼤了或⼩了的反馈,直到猜对&a…