嵌入式全栈设计思路:STM32G4+ChibiOS+FreeRTOS+PID控制+PFC算法构建高效智能电源管理系统(附代码示例)

news2024/9/17 7:45:33

智能电源管理系统是一个基于STM32G4微控制器的高性能数字电源控制解决方案。本项目旨在设计一个功能全面、高效稳定的电源管理系统,可广泛应用于工业控制、新能源、通信设备等领域。

1.1 系统主要特点

  1. 高精度数字电源控制:利用STM32G4的高性能ADC和定时器,实现精确的电压电流控制。
  2. 多模块协同工作:通过CAN总线实现多个电源模块的协同控制和负载均衡。
  3. 实时监控和保护:集成过压、过流、过温等多重保护机制,确保系统安全可靠。
  4. 远程配置和固件更新:支持通过RS485接口进行远程参数配置和固件更新。
  5. 高效率运行:采用先进的Buck/Boost拓扑和动态PFC技术,实现高效能量转换。

1.2 技术栈概览

  • 微控制器:STM32G474RE (STM32G4系列)
  • 操作系统:ChibiOS/RT 21.11.1
  • 电源管理:数字控制Buck/Boost转换器
  • 电流感应:INA226高精度电流检测芯片
  • 通信接口:CAN 2.0B, RS485 (Modbus RTU)
  • 数据采集:内置12位SAR ADC, 5MSPS采样率
  • 控制算法:数字PID控制,自适应控制
  • 人机界面:0.96" OLED显示屏,旋转编码器
  • 数据存储:W25Q64 8MB SPI Flash
  • 开发工具:STM32CubeIDE 1.9.0, Qt 5.15.2

2. 系统设计

2.1 硬件设计

系统硬件架构如下:

 

2.1.1 核心控制器

选用STM32G474RE,其主要特性包括:

  • ARM Cortex-M4内核,170MHz主频
  • 512KB Flash, 128KB SRAM
  • 5个12位ADC,采样率高达5MSPS
  • 7个高级定时器,支持高分辨率PWM
2.1.2 电源转换电路

采用同步整流Buck/Boost拓扑,主要组件:

  • 功率MOSFET:IPB020N10N3 (100V, 90A)
  • 驱动IC:UCC27211A-Q1
  • 输出电感:IHLP-5050FD-01 (10μH)
  • 输出电容:TDK C5750X6S2W225K250KA (2.2μF, 450V)

Buck/Boost拓扑允许系统在输入电压高于或低于输出电压时都能正常工作,提高了系统的适应性。同步整流技术显著提高了转换效率,特别是在高电流输出时。

2.1.3 电流检测

采用Texas Instruments的INA226高精度电流检测芯片:

  • 16位ADC,可测量范围±81.92mV
  • 精度:量程的0.1%
  • I2C接口,可编程采样速率
  • 内置校准和告警功能

将INA226与一个10mΩ精密分流电阻配合使用,可以实现高达±8.192A的电流测量范围。

2.1.4 通信接口
  1. CAN接口

    • 使用STM32G4内置的FDCAN控制器
    • 外部CAN收发器:TJA1044GT (5Mbps高速CAN)
    • 支持CAN 2.0B协议,用于多模块通信
  2. RS485接口

    • 使用STM32G4的UART接口
    • 外部RS485收发器:MAX3485
    • 支持Modbus RTU协议,用于远程监控和配置
2.1.5 人机界面
  • OLED显示屏:0.96英寸,128x64分辨率,SSD1306控制器
  • 旋转编码器:PEC11R-4215F-S0024 (24步/圈),用于用户输入
2.1.6 数据存储

采用Winbond W25Q64JVSSIQ 8MB SPI Flash:

  • 支持SPI/Dual SPI/Quad SPI接口
  • 100,000次编程/擦除周期
  • 用于存储系统日志和配置参数
2.1.7 温度监测

使用MAX31855KASA+热电偶数字转换器:

  • 冷端补偿K型热电偶数字转换器
  • 14位分辨率,0.25°C
  • SPI接口
  • 用于监测关键部件温度,实现过温保护

2.2 软件设计

软件架构采用分层设计,如下图所示:

 

2.2.1 操作系统

采用ChibiOS/RT 21.11.1实时操作系统:

  • 优先级基于的抢占式多任务调度
  • 低内存占用(约8KB ROM,2KB RAM)
  • 快速上下文切换(约200个时钟周期)
  • 丰富的同步原语(互斥量、信号量、事件标志等)
2.2.2 主要软件模块
  1. 电源控制模块

    • 实现数字PID控制算法
    • 自适应控制策略,根据负载变化调整参数
    • PWM生成与同步整流控制
  2. 数据采集模块

    • 高速ADC采样(电压、电流)
    • INA226电流检测芯片数据读取
    • 温度数据采集和处理
  3. 保护机制模块

    • 过压保护
    • 过流保护
    • 过温保护
    • 软启动控制
  4. 通信模块

    • CAN协议栈实现(多模块通信)
    • Modbus RTU协议实现(远程监控)
  5. 人机界面模块

    • OLED显示驱动
    • 旋转编码器输入处理
    • 菜单系统实现
  6. 数据存储模块

    • Flash读写驱动
    • 日志记录系统
    • 参数存储与恢复
  7. 系统管理模块

    • 任务调度
    • 电源状态管理
    • 错误处理和系统恢复
2.2.3 任务划分
任务名称优先级周期功能描述
controlTask100μs电源控制算法执行
adcTask200μsADC数据采集和处理
protectionTask1ms系统保护检查
communicationTask10ms通信协议处理
uiTask50ms用户界面更新
dataLogTask1s数据记录到Flash
2.2.4 关键算法实现
2.2.4.1 PID控制算法

PID(比例-积分-微分)控制是电源管理系统中最核心的算法之一,用于精确控制输出电压和电流。

typedef struct {
    float Kp, Ki, Kd;           // PID参数
    float error_sum, last_error; // 积分误差和上一次误差
    float output_min, output_max; // 输出限幅
} PID_Controller;

float PID_Update(PID_Controller* pid, float setpoint, float measurement) {
    float error = setpoint - measurement;
    
    // 比例项
    float P = pid->Kp * error;
    
    // 积分项(带积分限幅)
    pid->error_sum += error;
    pid->error_sum = CLAMP(pid->error_sum, -10.0f, 10.0f);
    float I = pid->Ki * pid->error_sum;
    
    // 微分项
    float D = pid->Kd * (error - pid->last_error);
    pid->last_error = error;
    
    // 计算输出
    float output = P + I + D;
    
    // 输出限幅
    output = CLAMP(output, pid->output_min, pid->output_max);
    
    return output;
}

说明:

  • 该PID算法实现了基本的比例、积分和微分控制。
  • 使用了积分限幅来防止积分饱和。
  • 输出限幅确保控制信号在合理范围内。
2.2.4.2 自适应PID参数调整

为了应对不同负载条件,我们实现了一个简单的自适应PID参数调整算法。

void PID_Adapt(PID_Controller* pid, float error) {
    float abs_error = fabs(error);
    if (abs_error > 5.0f) {
        pid->Kp *= 1.1f;  // 误差大时增大Kp
    } else if (abs_error < 1.0f) {
        pid->Kp *= 0.9f;  // 误差小时减小Kp
    }
    pid->Kp = CLAMP(pid->Kp, 0.1f, 10.0f);  // 限制Kp的范围
}

说明:

  • 根据误差大小动态调整Kp参数。
  • 当误差较大时,增大Kp以提高响应速度。
  • 当误差较小时,减小Kp以提高稳定性。
  • Kp的值被限制在0.1到10之间,防止过度调整。
2.2.4.3 软启动算法

软启动算法用于在系统启动时缓慢增加输出电压,避免突然的电流冲击。

typedef struct {
    float target_voltage;       // 目标电压
    float current_voltage;      // 当前电压
    float ramp_rate;            // 斜率 (V/s)
    uint32_t last_update_time;  // 上次更新时间
} SoftStart;

void SoftStart_Init(SoftStart* ss, float target, float rate) {
    ss->target_voltage = target;
    ss->current_voltage = 0.0f;
    ss->ramp_rate = rate;
    ss->last_update_time = HAL_GetTick();
}

float SoftStart_Update(SoftStart* ss) {
    uint32_t now = HAL_GetTick();
    float elapsed_time = (now - ss->last_update_time) / 1000.0f;
    ss->last_update_time = now;

    ss->current_voltage += ss->ramp_rate * elapsed_time;
    if (ss->current_voltage >= ss->target_voltage) {
        ss->current_voltage = ss->target_voltage;
        return -1.0f;  // 软启动完成
    }
    return ss->current_voltage;
}

说明:

  1. SoftStart 结构体包含了软启动所需的所有参数:

    • target_voltage: 最终要达到的目标电压
    • current_voltage: 当前输出电压
    • ramp_rate: 电压上升的速率(V/s)
    • last_update_time: 上次更新的时间戳
  2. SoftStart_Init 函数用于初始化软启动参数:

    • 设置目标电压和斜率
    • 初始电压设为0
    • 记录初始时间戳
  3. SoftStart_Update 函数实现了软启动的核心逻辑:

    • 计算自上次更新以来的时间间隔
    • 根据时间间隔和斜率增加当前电压
    • 如果达到或超过目标电压,则返回-1表示软启动完成
    • 否则返回当前电压值

使用这个软启动算法可以实现电压的平滑上升,减少启动时的浪涌电流,保护电源和负载。在实际应用中,可以将这个算法集成到主控制循环中,在系统启动或重启时调用。

2.2.4.4 动态功率因数校正 (PFC) 算法

动态功率因数校正算法用于改善电源的功率因数,提高能源利用效率。

typedef struct {
    float voltage_rms;   // 电压有效值
    float current_rms;   // 电流有效值
    float power_factor;  // 当前功率因数
    float target_pf;     // 目标功率因数
    float duty_cycle;    // PWM占空比
} PFC_Controller;

void PFC_Update(PFC_Controller* pfc, float voltage, float current) {
    // 更新RMS值(使用简化的移动平均法)
    pfc->voltage_rms = (pfc->voltage_rms * 0.9f) + (fabsf(voltage) * 0.1f);
    pfc->current_rms = (pfc->current_rms * 0.9f) + (fabsf(current) * 0.1f);
    
    // 计算功率因数(简化计算,假设电压和电流同相位)
    float apparent_power = pfc->voltage_rms * pfc->current_rms;
    float active_power = voltage * current;
    pfc->power_factor = active_power / apparent_power;
    
    // 调整PWM占空比以改善功率因数
    float pf_error = pfc->target_pf - pfc->power_factor;
    pfc->duty_cycle += pf_error * 0.01f;  // 简单的比例调节
    
    // 限制占空比在有效范围内
    pfc->duty_cycle = CLAMP(pfc->duty_cycle, 0.1f, 0.9f);
}

float PFC_GetDutyCycle(PFC_Controller* pfc) {
    return pfc->duty_cycle;
}

说明:

  1. PFC_Controller 结构体包含了PFC所需的参数:

    • voltage_rms 和 current_rms: 电压和电流的有效值
    • power_factor: 当前计算得到的功率因数
    • target_pf: 目标功率因数(通常接近1)
    • duty_cycle: 用于控制功率因数的PWM占空比
  2. PFC_Update 函数实现了PFC的核心逻辑:

    • 使用简化的移动平均法更新电压和电流的RMS值
    • 计算当前功率因数(这里使用了简化计算,假设电压和电流同相位)
    • 根据当前功率因数和目标功率因数的误差调整PWM占空比
    • 将占空比限制在10%到90%之间,确保系统稳定性
  3. PFC_GetDutyCycle 函数用于获取当前的PWM占空比,以便控制功率开关

使用说明:

  • 在主控制循环中定期调用 PFC_Update 函数,传入实时的电压和电流采样值
  • 使用 PFC_GetDutyCycle 获取计算得到的PWM占空比,并应用到功率控制电路
  • 根据实际系统特性,可能需要调整占空比调节的比例系数(当前为0.01)

注意事项:

  1. 这是一个简化的PFC算法,实际应用中可能需要更复杂的相位检测和控制策略。
  2. 功率因数的计算假设了电压和电流同相位,这在实际系统中可能并不准确。更精确的实现应该考虑相位差。
  3. 移动平均法用于RMS计算是一种近似方法,对于快速变化的信号可能不够准确。在高精度要求的场合,应考虑使用真RMS计算方法。
  4. 占空比的调整使用了简单的比例控制,可能需要根据系统特性调整或采用更复杂的控制策略(如PI控制)。
  5. 算法没有考虑电网频率变化,在某些应用中可能需要频率跟踪功能。

改进建议:

  1. 实现相位检测:使用零交叉检测或DFT(离散傅里叶变换)来准确测量电压和电流的相位差。
  2. 增加自适应控制:根据负载特性自动调整控制参数。
  3. 添加谐波分析:在某些应用中,可能需要考虑谐波对功率因数的影响。
  4. 实现软启动:在PFC启动时,逐渐增加占空比以避免突然的电流冲击。
  5. 故障检测:添加过压、过流等保护机制。

示例代码扩展:

// 添加相位检测功能
void PFC_DetectPhase(PFC_Controller* pfc, float voltage, float current) {
    // 使用零交叉检测或其他方法检测相位
    // 这里仅为示意,实际实现可能更复杂
    static float last_voltage = 0;
    if (voltage >= 0 && last_voltage < 0) {
        // 电压零交叉点
        pfc->voltage_phase = 0;
    }
    if (current >= 0 && pfc->last_current < 0) {
        // 电流零交叉点,计算相对于电压的相位差
        pfc->current_phase = (HAL_GetTick() - pfc->last_voltage_zero) / (1000.0f / 50.0f) * 360.0f;
    }
    last_voltage = voltage;
    pfc->last_current = current;
}

// 更精确的功率因数计算
float PFC_CalculatePowerFactor(PFC_Controller* pfc) {
    return cosf(pfc->current_phase * PI / 180.0f);
}

// 添加到主更新函数
void PFC_Update(PFC_Controller* pfc, float voltage, float current) {
    PFC_DetectPhase(pfc, voltage, current);
    // ... 其他更新逻辑 ...
    pfc->power_factor = PFC_CalculatePowerFactor(pfc);
    // ... 继续原有的控制逻辑 ...
}

3. 系统集成

3.1 硬件集成

  1. PCB设计:使用Altium Designer进行四层PCB设计,考虑EMI/EMC布局。
  2. 热管理:为关键组件(如功率MOSFET和电感)设计适当的散热方案。
  3. 接口设计:包括电源输入/输出端子、通信接口(CAN, RS485)、调试接口(JTAG/SWD)。

3.2 软件集成

  1. 驱动层集成:将各硬件驱动(ADC, PWM, CAN, RS485等)整合到ChibiOS的HAL层。
  2. 中间件集成:将FatFS文件系统与W25Q64 Flash驱动结合,实现数据存储功能。
  3. 应用层集成:将PID控制、PFC算法、保护机制等模块组合成完整的应用程序。

3.3 固件更新机制

实现基于CAN总线或RS485的在线固件更新功能:

typedef struct {
    uint32_t firmware_version;
    uint32_t firmware_size;
    uint32_t crc32;
} FirmwareHeader;

bool UpdateFirmware(uint8_t* new_firmware, uint32_t size) {
    FirmwareHeader* header = (FirmwareHeader*)new_firmware;
    
    // 验证固件
    if (CalculateCRC32(new_firmware + sizeof(FirmwareHeader), size - sizeof(FirmwareHeader)) != header->crc32) {
        return false;
    }
    
    // 擦除Flash
    FLASH_Erase(FIRMWARE_START_ADDRESS, header->firmware_size);
    
    // 写入新固件
    FLASH_Write(FIRMWARE_START_ADDRESS, new_firmware + sizeof(FirmwareHeader), header->firmware_size);
    
    // 验证写入
    if (memcmp((void*)FIRMWARE_START_ADDRESS, new_firmware + sizeof(FirmwareHeader), header->firmware_size) != 0) {
        return false;
    }
    
    // 更新启动标志
    UpdateBootFlag(header->firmware_version);
    
    return true;
}

4. 测试与验证

4.1 单元测试

使用Unity测试框架对关键模块进行单元测试:

void test_PID_controller(void) {
    PID_Controller pid = {1.0f, 0.1f, 0.01f, 0, 0, -100, 100};
    TEST_ASSERT_FLOAT_WITHIN(0.1f, 50.0f, PID_Update(&pid, 100, 50));
    TEST_ASSERT_FLOAT_WITHIN(0.1f, 25.0f, PID_Update(&pid, 100, 75));
}

void test_soft_start(void) {
    SoftStart ss;
    SoftStart_Init(&ss, 12.0f, 1.0f);
    TEST_ASSERT_FLOAT_WITHIN(0.1f, 1.0f, SoftStart_Update(&ss));
    // Simulate 1 second passing
    ss.last_update_time -= 1000;
    TEST_ASSERT_FLOAT_WITHIN(0.1f, 2.0f, SoftStart_Update(&ss));
}

4.2 集成测试

  1. 功能测试:验证所有功能模块的协同工作。
  2. 性能测试:测试系统在不同负载条件下的响应时间和稳定性。
  3. 压力测试:在极限条件下运行系统,如最大负载、高温环境等。
  4. 长期可靠性测试:连续运行系统至少 1000 小时,监控性能变化。

测试用例示例:

void test_full_system_startup(void) {
    // 模拟系统启动
    SystemInit();
    
    // 验证软启动
    TEST_ASSERT_TRUE(WaitForVoltageStable(12.0f, 5000));  // 等待电压稳定在12V,超时5秒
    
    // 验证PFC功能
    TEST_ASSERT_FLOAT_WITHIN(0.05f, 0.98f, GetPowerFactor());  // 功率因数应该接近1
    
    // 验证通信功能
    TEST_ASSERT_TRUE(TestCANComm());
    TEST_ASSERT_TRUE(TestModbusComm());
}

void test_load_transient_response(void) {
    // 设置初始负载
    SetLoad(5.0f);  // 5A负载
    
    // 等待系统稳定
    Delay(1000);
    
    // 突然增加负载
    SetLoad(10.0f);  // 增加到10A
    
    // 检查电压恢复时间
    uint32_t recovery_time = MeasureVoltageRecoveryTime(11.5f, 12.5f);
    TEST_ASSERT_LESS_THAN(500, recovery_time);  // 恢复时间应小于500ms
}

4.3 EMC/EMI 测试

  1. 传导发射测试:确保系统符合 CISPR 22/EN 55022 标准。
  2. 辐射发射测试:验证系统在正常运行时不会产生过量电磁干扰。
  3. 抗扰度测试:测试系统对外部电磁干扰的抵抗能力,包括 ESD、浪涌等。

5. 项目总结

本智能电源管理系统项目成功实现了以下目标:

  1. 基于 STM32G4 的高性能数字控制电源系统,支持精确的电压和电流调节。
  2. 实现了先进的 PFC 算法,显著提高了系统的功率因数。
  3. 集成了多种保护机制,确保系统在各种条件下安全可靠运行。
  4. 通过 CAN 和 Modbus 协议实现了灵活的通信和远程管理功能。
  5. 软启动和自适应控制算法提高了系统的稳定性和适应性。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1929311.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

2024年肥西县“北斗·劳技科普进校园”赛事活动圆满举行

2024年6月13日肥西县“北斗劳技科普进校园”赛事活动在肥西实验高级中学圆满举行。本次赛事由肥西县关心下一代工作委员会和肥西县教育体育局主办&#xff0c;肥西县航空航海模型协会承办&#xff0c;肥西县实验高级中学协办&#xff0c;并得到了赛哆哆、凡尼科技中心和肥西艾瞳…

为什么渲染农场渲染的是帧,而不是视频?

在3D动画产业的壮阔画卷中&#xff0c;渲染农场作为幕后英雄&#xff0c;以其庞大的计算能力支撑起无数视觉奇观的诞生。这些由高性能计算机集群构成的系统&#xff0c;通过独特的逐帧渲染策略&#xff0c;解锁了单机难以企及的创作自由与效率。本文将深入剖析这一策略背后的逻…

springcloud使用微服务的搭建

微服务的搭建 1.配置对应信息 Springboot 、springcloud、springcloud alibaba对应关系 https://github.com/alibaba/spring-cloud-alibaba/wiki/%E7%89%88%E6%9C%AC%E8%AF%B4%E6%98%8E 2.pom.xml的配置 2.1 总项目pom.xml引入依赖 <parent><groupId>org.sprin…

从库存超卖问题分析锁和分布式锁的应用(二)

本文从一个经典的库存超卖问题分析说明常见锁的应用&#xff0c;假设库存资源存储在Redis里面。 假设我们的减库存代码如下&#xff1a; Autowired StringRedisTemplate redisTemplate;public void deduct(){String stock redisTemplate.opsForValue().get("stock"…

docker desktop历史版本安装

1.安装choco Windows安装 choco包管理工具-CSDN博客 2.通过choco安装 下面例子为安装旧版2.3.0.2,其它版本类似 Chocolatey Software | Docker Desktop 2.3.0.2 https://download.docker.com/win/stable/45183/Docker%20Desktop%20Installer.exe choco install docker-des…

linux高级编程(网络)(www,http,URL)

数据的封包和拆包 封包&#xff1a; 应用层数据&#xff08;例如HTTP请求&#xff09;被传递给传输层。传输层&#xff08;TCP&#xff09;在数据前添加TCP头部&#xff08;包含端口号、序列号等&#xff09;。网络层&#xff08;IP&#xff09;在TCP段前添加IP头部&#xff…

【从0到1进阶Redis】主从复制 — 主从机宕机测试

上一篇&#xff1a;【从0到1进阶Redis】主从复制 测试&#xff1a;主机断开连接&#xff0c;从机依旧连接到主机的&#xff0c;但是没有写操作&#xff0c;这个时候&#xff0c;主机如果回来了&#xff0c;从机依旧可以直接获取到主机写的信息。 如果是使用命令行&#xff0c;来…

底软驱动 | 大厂面试爱考的C++内存相关

文章目录 C内存相关C内存分区C对象的成员函数存放在内存哪里 堆和栈的区别堆和栈的访问效率“野指针”有了malloc/free为什么还要new/deletealloca内存崩溃C内存泄漏的几种情况内存对齐柔性数组参考推荐阅读 C内存相关 本篇介绍了 C 内存相关的知识。 C内存分区 在C中&#…

【python学习】numpy第三方库的定义、功能、使用场景和使用以及遇到的一些问题

引言 python学习学习到第三方库知识&#xff0c;首先学习的就是机器学习以及对应的numpy第三方库 文章目录 引言一、numpy第三方库的定义二、numpy第三方库的功能2.1数组操作2.2 线性代数计算2.3 随机数生成2.4 文件读写 三、numpy第三方库的使用场景3.1需要进行数值计算3.2 需…

PyCharm软件初始化配置

安装完pycharm后&#xff0c;需要对其进行个性化设置&#xff0c;分别设置方法如下 目录 一、修改主题二、修改默认字体和大小三、设置拖动滚轮改变字体大小四、常见快捷键 一、修改主题 1、界面右上角点击红框的内容 2、选择Theme选项 3、选择对应的主题 第一二个是白色主题…

通俗易懂多图透彻讲解二叉树的遍历--前序, 中序和后序

二叉树的遍历是一个数据结构中经常会遇到的知识点, 具体又分为前序, 中序和后序三种. 什么是树? 先来理解一下什么是树, 从一个我们相对熟悉的家谱树(Family Tree)说起吧. 家族的根是爷爷, 然后生了两个娃, 大伯和你爸爸. 继续往下, 有堂哥堂姐, 还有你以及你妹, 等等. 一个…

工业智能网关的边缘计算能力赋能工业4.0

边缘计算是将数据处理和分析能力推向网络边缘的技术&#xff0c;使得终端设备能够实时、快速地响应环境变化&#xff0c;并做出相应决策。在智能制造中&#xff0c;通过5G工业网关的边缘计算能力&#xff0c;企业可以实现对生产线上大量传感器数据的实时采集、处理和分析&#…

Linux0715

一切皆文件&#xff0c;文件IO已经学习完毕&#xff0c;这两天完成一个minishell的小项目 文件操作 1. 标准IO 具有缓冲区 是对普通文件的读写 1. fopen ----------------------------->文件流指针 FILE * …

联发科又放大招,天玑9400支持10.7Gbps LPDDR5X内存性能拉满!

三星官方消息称&#xff0c;联发科天玑9400将支持全球最快的手机内存10.7Gbps LPDDR5X&#xff01;而且数码达人科技九州君也在微博上透露&#xff0c;天玑9400将首发支持全球最快的移动DRAM。顶级的内存加上天玑9400采用的黑鹰架构和配置的大CPU缓存&#xff0c;性能直接拉满了…

基于springboot和mybatis的RealWorld后端项目实战三之添加swagger

pom.xml添加依赖 <dependency><groupId>io.springfox</groupId><artifactId>springfox-swagger2</artifactId><version>2.9.2</version></dependency><dependency><groupId>io.springfox</groupId><arti…

基于python的层次聚类

目录 一、层次聚类概述 二、凝聚法&#xff08;Agglomerative Clustering&#xff09; 1. 初始化 2. 计算距离 3. 合并簇 4. 重复迭代 三、分裂法&#xff08;Divisive Clustering&#xff09; 1. 初始化 2. 分裂簇 3. 分配样本点 4. 重复迭代 四、其他考虑因素 五、总结 …

51、数据库的概念及sql语句

1、数据库 1.1、数据库管理&#xff1a; sql语句 数据库用来增删改查的语句。重要* 备份 数据库的数据进行备份。 主从复制&#xff0c;读写分离 高可用。重要*&#xff0c;原理–面试。 数据库的概念、语法和规范 1.2、数据库的定义 数据库&#xff1a;组织&#xff0c…

ACL实验

目录 一、实验拓扑​编辑 二. 实验要求&#xff08;在图中&#xff09; 三、实验思路 配IP 全网可达 创建模拟机pc1 创建telent r1 r2 由题目可得 截图 pcr1​编辑 pcr2​编辑 四、实验总结&#xff08;写实验完成后的总结心得&#xff09; 一、实验拓扑 二. 实验…

硅纪元AI应用推荐 | 精准识别用户意图,夸克真AI搜索引擎

“硅纪元AI应用推荐”栏目&#xff0c;为您精选最新、最实用的人工智能应用&#xff0c;无论您是AI发烧友还是新手&#xff0c;都能在这里找到提升生活和工作的利器。与我们一起探索AI的无限可能&#xff0c;开启智慧新时代&#xff01; 在数字化时代&#xff0c;搜索引擎成为我…

本地多模态看图说话-llava

其中图片为bast64转码&#xff0c;方便json序列化。 其中模型llava为本地ollama运行的模型&#xff0c;如&#xff1a;ollama run llava 还有其它的模型如&#xff1a;llava-phi3&#xff0c;通过phi3微调过的版本。 实际测试下来&#xff0c;发现本地多模型的性能不佳&…