基于FPGA的数字信号处理(11)--定点数的舍入模式(2)向最临近值取整nearest

news2024/9/29 7:32:07

前言

在之前的文章介绍了定点数为什么需要舍入和几种常见的舍入模式。今天我们再来看看另外一种舍入模式:向最临近值取整nearest

10进制数的nearest

nearest向最临近值方向取整。它的舍入方式和四舍五入非常类似,都是舍入到最近的整数,比如1.75 nearest到2,-0.25 nearest到0等。二者唯一的区别在于对0.5这类数据的处理上。

  • 0.5的round结果是1,-0.5的round结果是-1
  • 0.5的nearest结果是1,-0.5的nearest结果是0,也就是说对于0.5(1.5/2.5等)这类数据,它们的nearest结果是都是向上取整

以-2到1.75之间的16个数据(步长0.25)为例,它们的nearest结果是这样的:

从上图可以看到:

  • 正数的nearest,分为两个部分:

    • 小数部分小于等于4时就把小数部分(或者约定精度外的部分)丢掉。例如1.25 >> 1,1.0 >> 1 等
    • 小数部分大于等于5时就把小数部分(或者约定精度外的部分)丢掉然后+1。例如1.5 >> 1 >> 1 + 1 >> 2,0.75 >> 0 >> 0+1 >> 1 等
  • 负数的nearest,也分为两个部分:

    • 小数部分小于等于4时就把小数部分(或者约定精度外的部分)丢掉。例如-1.25 >> -1,-1.0 >> -1 等
    • 小数部分大于等于5时就把小数部分(或者约定精度外的部分)丢掉然后-1。例如 -1.5 >> -1 >> -1 - 1 >> -2,-0.75 >> 0 >> 0-1 >> -1 等
  • 0的nearest,就是直接丢掉小数部分

2进制数的nearest

2进制数的nearest和10进制的nearest类似。以Q4.2格式的定点数(字长4位,小数2位的有符号数)为例,对于负数的小数部分的处理:

  • -2(d) = 10_00(b) nearest后的值为 -2,等价于 10,即舍弃小数部分后的值(10)
  • -1.75(d) = 10_01(b) nearest后的值为 -2,等价于 10,即舍弃小数部分后的值(10)
  • -1.5(d) = 10_10(b) nearest后的值为 -1,等价于 11,即舍弃小数部分后的值(10)再加1
  • -1.25(d) = 10_11(b) nearest后的值为 -1,等价于 11,即舍弃小数部分后的值(10)再加1
  • -1(d) = 11_00(b) nearest后的值为 -1,等价于 11,即舍弃小数部分后的值(11)
  • -0.75(d) = 11_01(b) nearest后的值为 -1,等价于 11,即舍弃小数部分后的值(11)
  • -0.5(d) = 11_10(b) nearest后的值为 0,等价于 00,即舍弃小数部分后的值(11)再加1
  • -0.25(d) = 11_11(b) nearest后的值为 0,等价于 00,即舍弃小数部分后的值(11)再加1

对于正数的小数部分的处理:

  • 1.75(d) = 01_11(b) nearest后的值为 2,此时溢出了,需要扩展位宽,处理方式也是舍弃小数部分的值(001)再加1即010
  • 1.5(d) = 01_10(b) nearest后的值为 2,此时溢出了,需要扩展位宽,处理方式也是舍弃小数部分的值(001)再加1即010
  • 1.25(d) = 01_01(b) nearest后的值为 1,等价于 01,即舍弃小数部分后(01)的值
  • 1(d) = 01_00(b) nearest后的值为 1,等价于 01,即舍弃小数部分后(01)的值
  • 0.75(d) = 00_11(b) nearest后的值为 1,等价于 01,即舍弃小数部分后(00)的值再加1
  • 0.5(d) = 00_10(b) nearest后的值为 1,等价于 01,即舍弃小数部分后(00)的值再加1
  • 0.25(d) = 00_01(b) nearest后的值为 0,等价于 00,即舍弃小数部分后(00)的值

对于0的处理:直接舍弃小数部分。

总结一下,就是:

  • 对于正数的nearest处理:首先舍掉小数位,然后加一个进位值:
    • 当小数部分的最高位为0时,说明这个数的小数部分是小于0.5的,所以不需要进位,此时的进位值为0。
    • 当小数部分的最高位为1时,说明这个数的小数部分是大于等于0.5的,所以需要进位,即此时的进位值为1。
  • 对于0的nearest处理:首先舍掉小数位,然后加一个进位值,该进位值恒定为0。
  • 对于负数的nearest处理:首先舍掉小数位,然后加一个进位值:
    • 当小数部分的最高位为0时,说明这个数的小数部分是小于0.5的,而整数部分又是个负数,相当于二者的和的小数部分小于 -0.5。例如10.01是-1.75,它的小数部分.01是0.25,整数部分10是-2,二者相加是-2+0.25 = -1.75,所以它们的处理方式都是先舍弃小数位,然后加0。
    • 当小数部分的最高位为1且其他位不为全0时,说明这个数的小数部分是大于0.5的,而整数部分又是个负数,相当于二者的和的小数部分大于-0.5。例如10.11是-1.25,它的小数部分.11是0.75,整数部分10是-2,二者相加是-2+0.75 = -1.25。所以它们的处理方式都是先舍弃小数位,然后加1。
    • 当小数部分的最高位为1且其他位为全0时,说明这个数的小数部分是等于0.5的,此时向上舍入,例如11_10是 -0.5,nearest后的值为 0(00),即11_10>>11+1>>00。所以它们的处理方式都是先舍弃小数位,然后加1。

上面的内容可以再精简:

  • 当小数部分的最高位为0时,相当于整数部分 + 进位值,进位值等于0,即小数部分的最高位
  • 当小数部分的最高位为1时,相当于整数部分 + 进位值,进位值等于1,即小数部分的最高位

image-20240421161549486

下面以 用nearest的方式来实现Q4.2格式定点数转Q2.0格式定点数为例,Verilog代码如下:

module test(
    input	[3:0]	data_4Q2,				//有符号数,符号1位,字长4位,小数2位	
    output	[1:0]	data_2Q0				//有符号数,符号1位,字长2位,小数0位	
);

wire	carry;

assign	carry = data_4Q2[1];				//小数的最高位就是进位值				
assign	data_2Q0 = data_4Q2[3:2] + carry;	//舍弃低位(即整个小数部分)后再加进位

endmodule

因为一共只有16个数,所以我们可以用穷举的方式来测试,TB如下:

`timescale 1ns/1ns
module test_tb();

reg	 [3:0]	data_4Q2;			//有符号数,符号1位,整数2位,小数2位	
wire [1:0]	data_2Q0;			//有符号数,符号1位,整数2位,小数0位	
	
integer i;						//循环变量

initial begin
	data_4Q2 = 0;				//输入赋初值	
	for(i=0;i<16;i=i+1)begin	//遍历所有的输入,共16个	
		data_4Q2 = i;						
		#5; 
		$display("data_4Q2:%h		data_2Q0:%h",data_4Q2,data_2Q0);
	end
	#20 $stop();				//结束仿真
end

//例化被测试模块
test	test_inst(
	.data_4Q2	(data_4Q2),	
	.data_2Q0	(data_2Q0)
);

endmodule

同时,我们也用matlab来实现同样的功能,观察两者的输出是否一致:

%--------------------------------------------------
% 关闭无关内容
clear;
close all;
clc;

%-------------------------------------------------------------------------------
% 生成数据并做Nearest处理
x = -2:0.25:1.75;
F = fimath('RoundingMethod','Nearest');         	% 设定舍入模式为nearest
%F_c = fimath('RoundingMethod','Convergent');      	% 设定舍入模式为nearest
data_4Q2 = fi(x,1,4,2,F);                         	% 生成Q4.2格式的定点数
data_2Q0 = fi(data_4Q2,1,2,0,F);                  	% 从Q4.2格式转换成Q2.0格式

下图是2者分别输出的数据(16进制),可以看到有2个数是对不上的:

image-20240421013707229

你如果记性不错的话,就会发现这两个数正是前面讨论的正数会出现溢出的情况。这2个数分别是0110/0111,即10进制数1.5/1.75,它们的nearest结果应该是2。从上图来看,好像是matlab错了,而RTL对了,但实际情况恰恰相反。现在想想结果是什么格式的?Q2.0!它能表示的最大的数是多少?是10进制的1!所以结果溢出了!

那为什么RTL的结果又 ”对“ 了呢?这纯属是乌龙。因为打印结果是16进制的,并不表示10进制数值,结合结果的2位位宽,可知 ”2“,实际上就是10,它是01的溢出产生的,这个数在Q2.0格式的定点数中并不表示 ”数字2“,而是数字 ”-1“。

matlab是有溢出处理进制的(saturate),它把溢出值把都饱和在了最大值,即01(10进制的1),所以为了防止这种情况的发生,我们也要设计对应的溢出处理机制。因为负数的最小值只取决于整数(小数部分是正的权重),而正数的最大值同时取决于小数和整数,例如Q4.2格式的最小值是-2即10_00,而最大值则是1.75即01_11,所以溢出只会是正向的溢出,那么就只要限定最大值即可。把Verilog代码改一下:

module test(
    input	[3:0]	data_4Q2,				//有符号数,符号1位,字长4位,小数2位	
    output	[1:0]	data_2Q0				//有符号数,符号1位,字长2位,小数0位	
);

wire			carry;
wire	[2:0]	data_temp;					//扩展1bit,防止溢出

assign	carry = data_4Q2[1];	
assign	data_temp = {data_4Q2[3],data_4Q2[3:2]} + {2'b00,carry};		//中间变量,舍弃低位(即整个小数部分)后再加进位    
assign	data_2Q0 = (data_temp[2:1]==2'b01) ? 2'b01 : data_temp[1:0];	//data_2Q0的高2位为01说明产生了正向的进位,即溢出
endmodule

这样结果就是正确的了:

image-20240421014851058

定点数从Q4.2格式转Q2.0格式是一个比较特殊的例子,因为它相当于把小数部分全部舍弃掉了,如果舍入要求不是全部小数位,而是部分小数位,那么处理方式是一样的吗?

是一样的。对于其他情况则相当于把小数点移动到了对应的位置。例如Q5.3格式的定点数转Q3.1格式,则只需要把最后两位小数舍弃并加上进位即可即可,例如:

00.001 是0.125,距离它最近的Q3.1格式的数是0即00.0,即00.001 >> 00.0 + 0 >> 00.0

00.110 是0.75,距离它最近的Q3.1格式的数就是它0.5和1,但是要求向上取整,所以结果是1即01.0,即00.110 >> 00.1+1 >> 01.0

11.111 是-0.125,距离它最近的Q3.1格式的数是0即00.0,即11.111 >> 11.1+ 1 >> 00.0

10.110 是-1.25,距离它最近的Q3.1格式的数是-1和-1.5,但是要求向上取整,所以结果是-1即11.0,即10.110 >> 10.1+1 >> 11.0

其他类似,不赘述了。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1662904.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【电路笔记】-无源高通滤波器

无源高通滤波器 文章目录 无源高通滤波器1、概述2、一阶高通滤波器的频率响应3、高通滤波器示例4、二阶高通滤波器5、RC 差异化因素高通滤波器与低通滤波器电路完全相反,因为这两个组件已互换,滤波器输出信号现在从电阻器两端获取。 1、概述 由于低通滤波器只允许低于其截止…

【论文合集1】- 存内计算加速机器学习

本章节论文合集&#xff0c;存内计算已经成为继冯.诺伊曼传统架构后&#xff0c;对机器学习推理加速的有效解决方案&#xff0c;四篇论文从存内计算用于机器学习&#xff0c;模拟存内计算&#xff0c;对CNN/Transformer架构加速角度阐述存内计算。 【1】WWW: What, When, Where…

C# OpenCvSharp Demo - 棋盘格相机标定

C# OpenCvSharp Demo - 棋盘格相机标定 目录 效果 项目 代码 下载 效果 项目 代码 using OpenCvSharp; using System; using System.Collections.Generic; using System.Diagnostics; using System.Drawing; using System.Drawing.Imaging; using System.Text; using Sys…

2019年计算机真题

2019年计算机真题 离散数学 一、用逻辑符号表达下列语句(论域为包含一切事物的集合) 1&#xff09;过平面上的两个点&#xff0c;有且仅有一条直线通过。 解: (1) P ( x , y ) : x , y \mathrm{P}_{(\mathrm{x}, \mathrm{y})}: \mathrm{x}, \mathrm{y} P(x,y)​:x,y 是平面上的…

线性表

1.1线性表的定义 线性表&#xff1a;零个或多个数据元素的有限序列。 注&#xff1a; &#xff08;1&#xff09;它是一个序列。元素之间是有顺序的&#xff0c;若元素存在多个&#xff0c;则第一个元素无前驱&#xff0c;最后一个元素无后继&#xff0c;其他元素有且只有一个…

SpringBoot 使用logback(多环境配置)

Logback是由log4j创始人设计的又一个开源日志组件。可用于项目日志功能。官网地址 第1步&#xff1a;添加坐标依赖 <!--logback--> <dependency><groupId>ch.qos.logback</groupId><artifactId>logback-classic</artifactId><version…

该问题未得到解决(仅记录)

https://releases.ubuntu.com/bionic/进入网页下载ubuntu 选择烧录软件将下载的Ubuntu烧录到U盘中 之前用这个U盘烧录过一次&#xff0c;成功了&#xff0c;后来应该是U盘受损或者是什么其他原因使得用这个U盘总是烧录失败

网安面经之xss漏洞

目录 一、XSS漏洞 1、xss漏洞原理与危害 2、xss的类型&#xff1f;区别&#xff1f;修复&#xff1f; 3、常见使用的标签&#xff0c;payload构造 一、XSS漏洞 1、xss漏洞原理与危害 原理&#xff1a;xss就是跨站脚本攻击漏洞&#xff0c;也可以理解为前端的代码注入&…

数字人金融应用技术指南

根据《北京金融科技产业联盟团体标准管理办法》的规定&#xff0c;2024年3月27日经北京金融科技产业联盟第三届理事会第二次会议审议&#xff0c;批准发布《数字人金融应用技术指南》&#xff08;T/BFIA 027—2024&#xff09;、《图数据库金融应用技术要求》&#xff08;T/BFI…

医院转型新突破:精益六西格玛助力管理费大降13%,业务飙升26%

近年来&#xff0c;越来越多的医院开始尝试引入精益六西格玛管理模式&#xff0c;以期实现管理费的降低和业务量的增长。那么&#xff0c;精益六西格玛模式真的有这么牛吗&#xff1f;深圳天行健六西格玛培训公司将从实践角度出发&#xff0c;探讨精益六西格玛如何助力医院实现…

GAME101-Lecture06学习

前言 上节课主要讲的是三角形的光栅化。重要的思想是要利用像素的中心对三角形可见性的函数进行采样。 这节课主要就是反走样。 课程链接&#xff1a;Lecture 06 Rasterization 2 (Antialiasing and Z-Buffering)_哔哩哔哩_bilibili 反走样引入 ​ 通过采样&#xff0c;得到…

Vuex核心概念-state状态

目录 一、目标 二、提供数据 三、使用数据 1.通过store直接访问 2.通过辅助函数&#xff08;简化&#xff09; 一、目标 明确如何给仓库提供数据&#xff0c;如何使用仓库的数据 二、提供数据 State提供唯一的公共数据源&#xff0c;所有共享的数据都要统一放到Store中的…

了解 条码工具 Dynamsoft 在条码读取器中的形态运算

在图像处理中&#xff0c;术语形态学是指分析形状以填充小孔、去除噪声、提取轮廓等的一组操作。形态学操作很像空间卷积中的过滤过程。有两个部分在起作用&#xff1a;结构元素和预定义的计算规则。 点击下载Dynamsoft最新版https://www.evget.com/product/3691/download 结…

C#上位机1ms级高精度定时任务

precisiontimer 安装扩展包 添加引用 完整代码 using PrecisionTiming;using System; using System.Collections.Generic; using System.ComponentModel; using System.Data; using System.Drawing; using System.Linq; using System.Text; using System.Threading.Tasks; us…

Java数组(二)

Java数组&#xff08;二&#xff09; 1、多维数组 多维数组可以看成是数组的数组&#xff0c;比如二维数组就是一个特殊的一维数组&#xff0c;其每一个元素都是一个一维数组。二维数组 int a[][] new int[2][5];解析&#xff1a;以上二维数组a可以看成一个两行五列的数组。…

七、Redis三种高级数据结构-HyperLogLog

Redis HyperLogLog是用来做基数统计的算法&#xff0c;HyperLogLog在优点是&#xff0c;在输入的元素的数量或者体积非常大时&#xff0c;计算基数占用的空间总是固定的、并且非常小。在Redis里每个HyperLogLog键只需花费12KB内存&#xff0c;就可以计算接近 264 个元素的基数。…

政安晨【零基础玩转各类开源AI项目】:基于Ubuntu系统本地部署使用GPT-SoVITS进行语音克隆与TTS语音生成

目录 介绍 什么是TTS 安装Miniconda 框架功能 测试通过的环境 开始 1. 安装好miniconda 2. 进入下载的GPT-SoVITS目录 3. 创建虚拟环境并执行脚本 4. 执行过程中可能会出错 5. 下载预训练模型 6. 训练过程中可能会报错 7. 使用过程中可能出错 8.以下是使用全过程…

Java入门基础学习笔记8——注释

1、注释&#xff1a; 注释是写在程序中对代码进行解释说明的文件&#xff0c;方便自己和其他人查看&#xff0c;以便理解程序的。 package cn.ensource.note;/**文档注释文档注释 */ public class NoteDemo {public static void main(String[] args) {// 单行注释System.out.…

Vue从入门到实战Day04

一、组件的三大组成部分&#xff08;结构/样式/逻辑&#xff09; 1. scoped样式冲突 默认情况&#xff1a;写在组件中的样式会全局生效 -> 因此很容易造成多个组件之间的样式冲突问题。 1. 全局样式&#xff1a;默认组件中的样式会作用到全局 2. 局部样式&#xff1a;可以…

电脑开机显示器不亮?3大原因及解决办法

电脑开机后&#xff0c;显示器不亮是一个常见的问题&#xff0c;可能会给用户带来困扰。然而&#xff0c;这个问题通常并不复杂&#xff0c;可以通过一些简单的方法来解决。在本文中&#xff0c;我们将介绍三种常见的方法&#xff0c;帮助您解决电脑开机显示器不亮的情况。这些…