FPGA -手写异步FIFO

news2024/9/21 12:30:34

一,FIFO原理

        FIFO(First In First Out)是一种先进先出的数据缓存器,没有外部读写地址线,使用起来非常简单,只能顺序写入数据顺序的读出数据,其数据地址内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。也正是由于这个特性,使得FIFO可以用作跨时钟域数据传输和数据位宽变换

二,双端口RAM

        FIFO中用来存储数据的器件为双口RAM,首先搭建一个Dual Ram(双口RAM)。我们以一个深度为16,数据位宽为8的Dual Ram为例,框图和时序如下。

        

Dual Ram读端和写端采用两个时钟,可以实现读写时钟为异步时钟,也可以实现读写同时进行的功能。代码实现如下:

// -----------------------------------------------------------------------------
// Author : RLG
// File   : Dual_Ram.v
// -----------------------------------------------------------------------------
`timescale 1ns / 1ps
module Dual_Ram#(
	parameter 			ADDR_WIDTH = 4,
	parameter 			DATA_WIDTH = 8
	)(
	input 								wrclk	,
	input 								rdclk	,
				
	input 								wr_en	,
	input 								rd_en	,	

	input 		[ADDR_WIDTH-1:0]		wr_addr ,
	input 		[ADDR_WIDTH-1:0]		rd_addr ,

	input  		[DATA_WIDTH-1:0]		wr_data	,
	output 	reg [DATA_WIDTH-1:0]		rd_data
    );

	/*---------------输入数据打一拍-------------*/
    reg [ADDR_WIDTH-1:0]	wr_addr_d1;
    reg [ADDR_WIDTH-1:0]	rd_addr_d1;
    reg [DATA_WIDTH-1:0]	wr_data_d1;
    reg 					wr_en_d1  ;
    reg 					rd_en_d1  ;
 	/*----------------数据寄存----------------*/  
 	reg [DATA_WIDTH-1:0] rd_data_out; 
	reg [DATA_WIDTH-1:0] Data_reg [2**ADDR_WIDTH-1:0];


	/*---------------输入数据打拍-------------*/
	always @(posedge wrclk ) begin 
		wr_addr_d1 <= wr_addr;
		rd_addr_d1 <= rd_addr;
		wr_data_d1 <= wr_data;
		wr_en_d1   <= wr_en  ;
		rd_en_d1   <= rd_en  ;
	end

	/*-------------------写数据-----------------*/
	always @(posedge wrclk ) begin 
		if(wr_en_d1)
			Data_reg[wr_addr_d1] <= wr_data_d1;
	end

	/*-------------------读数据-----------------*/
	always @(posedge rdclk ) begin 
		if(rd_en_d1)
			rd_data_out <=  Data_reg[rd_addr_d1];
	end

	/*-----------------输出打一拍----------------*/
	always @(posedge rdclk ) begin 
		rd_data <= rd_data_out;
	end

endmodule

二、FIFO地址设计

        我们知道FIFO中是没有地址线的,地址靠自身计数器自加1来控制,那么我们很容易想到把外部输入信号wr_addr和rd_addr换成内部信号并用计数器来控制其自加,计数器加满之后直接清零,从0重新开始写/读,循环往复。由于写端和读端的时钟速率不同,就会有快慢的问题,        

        那么就出现了一个问题,以地址2为例,写入的数据还没有被读出,又被新的数据覆盖了,造成数据丢失;或者写入的数据已经被读出,新的数据还没有写进来,地址2的老数据又被读了一遍,造成数据重复。

        为了解决上述问题,引入 full empty 信号来表示内部RAM中的数据写满或者读空,新的框图如下所示。

        

        如何产生full和empty信号呢,我们可以用 wr_addrrd_addr 来做判断,当 wr_clk 大于 rd_clk 时,会产生写满的情况,如下图中黄色部分代表已经写入数据,还未被读取,白色代表数据已被读取,图1中当 waddr>raddr时,waddr-raddr → 1111 - 0001 = 1110 可以表示两者的差值。

        图2中当 waddr<raddr 时,计算两者的差值为16 – raddr + waddr → 10000 - 1100 +1010 = 1110,此时的 waddr – raddr → 1010-1100 →1010+0011+0001=1110,两者结果相同,所以无论 waddr 大于 raddr 还是小于 raddr,都可以用 waddr-raddr 来表示写比读多几个数据。此时再引入一个full_limit用来设置一个写满的阈值。当waddr – raddr >= full_limit 时,full信号拉高,停止写入。

        同理,读比写快的情况下引入一个empty_limit来作为读空的阈值,当 waddr – raddr <= empty_limit 时。empty信号拉高停止读出。在实际工程中可以根据实际需要和 fifo 的设计区别灵活设置 full_limit 和empty_limit 的数值

三、空满信号判断

        使用读写地址进行判断空满信号。读地址rd_addr是在读时钟域wr_clk内,空信号empty也是在读时钟域内产生的;而写地址wr_addr是在写时钟域内,且满信号full也是在写时钟域内产生的。 那么,要使用读地址rd_addr与写地址wr_addr对比产生空信号empty,可以直接对比吗?        

        答案是不可以。

        因为这两个信号处于不同的时钟域内,要做跨时钟域CDC处理,而多bit信号跨时钟域处理,常用的方法就是使用异步FIFO进行同步可是我们不是在设计异步FIFO吗?

        于是,在这里设计异步FIFO,多bit跨时钟域处理的问题可以转化单bit跨时钟域的处理,把读写地址转换为格雷码后再进行跨时钟域处理,因为无论多少比特的格雷码,每次加1,只改变1位。把读地址rd_addr转换为格雷码,然后同步到写时钟域wr_clk;同样的,把写地址指wr_addr转换为格雷码,然后同步到读时钟域rd_clk

        二进制转格雷码:二进制的最高位作为格雷码的最高位,次高位的格雷码为二进制的高位和次高位相异或得到,其他位与次高位相同。

        代码:

  	assign wr_gray = (wr_addr >> 1) ^ wr_addr;
  	assign rd_gray = (rd_addr >> 1) ^ rd_addr;

        格雷码转二进制:使用格雷码的最高位作为二进制的最高位,二进制次高位产生过程是使用二进制的高位和次高位格雷码相异或得到,其他位的值与次高位产生过程相同。

        代码:

assign wr_bin[ADDR_WIDTH-1] = wr_gray_d2[ADDR_WIDTH-1];
	
  	genvar i;
  	generate
  	  for ( i = 0; i < ADDR_WIDTH-1; i=i+1) begin
  	    assign wr_bin[i] = wr_bin[i+1] ^ wr_gray_d2[i];
  	  end
  	endgenerate
	
  	assign rd_bin[ADDR_WIDTH-1] = rd_gray_d2[ADDR_WIDTH-1];
	
  	genvar j;
  	generate
  	  for ( j = 0; j < ADDR_WIDTH-1; j=j+1) begin
  	    assign rd_bin[j] = rd_bin[j+1] ^ rd_gray_d2[j];
  	  end
  	endgenerate

四、跨时钟域同步

        如何避免漏采和重采,首先考虑一个问题,地址同步要在哪个时钟域进行呢,我们所期望的结果是慢时钟地址同步到快时钟域,以免发生快时钟域信号漏采导致的读空或者写满。至于重采的情况,即慢时钟域信号被多采了一次,只会在判断空满状态时更安全,不会导致读空和写满这种不安全现象的出现。不过这样会产生虚假的full和empty信号,即full信号已经拉高,但ram中仍存有可用的地址,或者empty信号已经拉高,但ram中仍存有可被读出的数据。虽然效率和资源上有一点浪费,但不会发生丢失数据或读错数据的不安全行为

        那怎么实现慢时钟域的信号同步到快时钟域呢?因为若同时读写时出现 empty 则一定是读时钟快于写时钟,所以在判断 empty 状态时,读时钟域为快时钟,把较慢的写时钟同步到读时钟域来判断 empty。同理,若同时读写时出现 full 则一定是写时钟快于读时钟,所以在判断 full 状态时,写时钟域为快时钟,把较慢的读时钟同步到写时钟域来判断 full。以判断empty状态为例,过程如下图所示:

        其中B2G模块(二进制转格雷码)G2B模块(格雷码转二进制)empty判断模块均为组合逻辑,所以加一级D触发器以满足时序。圈中的两级D触发器用作消除跨时钟域同步的亚稳态。empty信号在RCLK快于WCLK时产生,中间虽然加入了四级D触发器,导致写地址同步到读时钟域时是之前的老地址,这和之前采重的问题一样,只会让empty的判断更安全,但会造成少许的资源浪费,属于保守但安全的做法。

        至此,一个简易的异步fifo就被设计出来了,总体框图如下:

代码:

// -----------------------------------------------------------------------------
// Author : RLG
// File   : async_fifo.v
// -----------------------------------------------------------------------------
`timescale 1ns / 1ps
module async_fifo#(
  parameter       ADDR_WIDTH = 4    ,
  parameter       DATA_WIDTH = 8    ,
  parameter       EMPTY_LIMIT= 1'b1  ,
  parameter       FULL_LIMIT = 4'd15

  )(
  input                           wrclk   ,
  input                           rdclk   ,

  input                           wr_rst_n,
  input                           rd_rst_n,   
          
  input                           wr_en   ,
  input                           rd_en   , 

  input       [DATA_WIDTH-1:0]    wr_data ,
  output  reg [DATA_WIDTH-1:0]    rd_data ,
  output  reg             		    empty   ,
  output  reg             		    full
    );

  	/*---------------输入数据打一拍-----------*/
    reg   [DATA_WIDTH-1:0]  wr_data_d1  ;
    reg                     wr_en_d1    ;
    reg                     rd_en_d1    ;
  
  	/*--  --------------数据寄存----------------*/  
  
  	reg   [DATA_WIDTH-1:0]  Data_reg [2**ADDR_WIDTH-1:0];
  
  	/*--  --------------读写地址----------------*/ 
  	reg   [ADDR_WIDTH-1:0]  wr_addr    ;
  	reg   [ADDR_WIDTH-1:0]  rd_addr    ;
    
  	/*--  --------------二进制转格雷码------------*/ 
  	wire  [ADDR_WIDTH-1:0]  wr_gray     ;
  	wire  [ADDR_WIDTH-1:0]  rd_gray     ;
  	reg   [ADDR_WIDTH-1:0]  wr_gray_d0  ;
  	reg   [ADDR_WIDTH-1:0]  rd_gray_d0  ;
  	reg   [ADDR_WIDTH-1:0]  wr_gray_d1  ;
  	reg   [ADDR_WIDTH-1:0]  rd_gray_d1  ;
	reg   [ADDR_WIDTH-1:0]  wr_gray_d2  ;
  	reg   [ADDR_WIDTH-1:0]  rd_gray_d2  ;
    
	/*--  --------------格雷码转二进制------------*/ 
	wire  [ADDR_WIDTH-1:0]  wr_bin    ;
	wire  [ADDR_WIDTH-1:0]  rd_bin    ;
	reg   [ADDR_WIDTH-1:0]  rd_bin_d0   ;
	reg   [ADDR_WIDTH-1:0]  wr_bin_d0 ;

  	/*----------------empty 判读---------------*/ 
  	wire          empty_logic ;
	
  	/*----------------full 判读---------------*/ 
  	wire          full_logic  ;
	
	
  	/*---------------------------------------*\
  	                 输入数据打拍
  	\*---------------------------------------*/
  	always @(posedge wrclk ) begin 
  	  wr_data_d1 <= wr_data;
  	  wr_en_d1   <= wr_en  ;
  	  rd_en_d1   <= rd_en  ;
  	end
	
  	/*---------------------------------------*\
  	                     写地址
  	\*---------------------------------------*/
  	always @(posedge wrclk ) begin 
  	  if(~wr_rst_n)
  	    wr_addr<= 0;
  	  else if(wr_en_d1 && ~full) begin
  	    if(wr_addr == 'd15)
  	      wr_addr <= 0;
  	    else
  	      wr_addr <= wr_addr + 1'b1;
  	  end
  	end
	
  	/*---------------------------------------*\
  	                     读地址
  	\*---------------------------------------*/
  	  always @(posedge rdclk ) begin 
  	  if(~rd_rst_n)
  	    rd_addr<= 0;
  	  else if(rd_en_d1 && ~empty) begin
  	    if(rd_addr == 'd15)
  	      rd_addr <= 0;
  	    else
  	      rd_addr <= rd_addr + 1'b1;
  	  end
  	end
  	
  	/*---------------------------------------*\
  	                  写数据
  	\*---------------------------------------*/
  	always @(posedge wrclk ) begin 
  	  if(wr_en_d1 && ~full)
  	    Data_reg[wr_addr] <= wr_data_d1;
  	end
	
  	/*---------------------------------------*\
  	                     读数据
  	\*---------------------------------------*/
  	always @(posedge rdclk ) begin 
  	  if(rd_en_d1 && ~empty)
  	    rd_data <=  Data_reg[rd_addr];
  	end
	
  	/*---------------------------------------*\
  	              二进制转格雷码
  	\*---------------------------------------*/
  	assign wr_gray = (wr_addr >> 1) ^ wr_addr;
  	assign rd_gray = (rd_addr >> 1) ^ rd_addr;
	
  	always @(posedge wrclk ) begin 
  	  wr_gray_d0 <= wr_gray;
  	end
	
  	 always @(posedge rdclk ) begin 
  	  rd_gray_d0 <= rd_gray;
  	end
	
  	/*---------------------------------------*\
  	                格雷码转二进制
  	\*---------------------------------------*/ 
  	always @(posedge wrclk ) begin 
  	  if(!wr_rst_n)begin
  	    rd_gray_d1 <= 0;
  	    rd_gray_d2 <= 0;
  	  end
  	  else begin
  	    rd_gray_d1 <= rd_gray_d0;
  	    rd_gray_d2 <= rd_gray_d1;
  	  end
  	end
	
  	always @(posedge rdclk ) begin 
  	  if (!rd_rst_n) begin
  	    wr_gray_d1 <= 0;
  	    wr_gray_d2 <= 0;
  	  end
  	  else begin
  	    wr_gray_d1 <= wr_gray_d0;
  	    wr_gray_d2 <= wr_gray_d1;
  	  end
  	end
	
	
  	assign wr_bin[ADDR_WIDTH-1] = wr_gray_d2[ADDR_WIDTH-1];
	
  	genvar i;
  	generate
  	  for ( i = 0; i < ADDR_WIDTH-1; i=i+1) begin
  	    assign wr_bin[i] = wr_bin[i+1] ^ wr_gray_d2[i];
  	  end
  	endgenerate
	
  	assign rd_bin[ADDR_WIDTH-1] = rd_gray_d2[ADDR_WIDTH-1];
	
  	genvar j;
  	generate
  	  for ( j = 0; j < ADDR_WIDTH-1; j=j+1) begin
  	    assign rd_bin[j] = rd_bin[j+1] ^ rd_gray_d2[j];
  	  end
  	endgenerate
	
  	always @(posedge wrclk) begin 
  	  wr_bin_d0 <= wr_bin;
  	end
	
  	always @(posedge rdclk) begin 
  	  rd_bin_d0 <= rd_bin;
  	end
	
  	/*---------------------------------------*\
  	                  empty
  	\*---------------------------------------*/ 
  	assign empty_logic = ((wr_bin_d0 - rd_addr) <= EMPTY_LIMIT)? 1'b1 : 1'b0;
  	always @(posedge rdclk) begin 
  	  empty <= empty_logic;
  	end
	
  	/*---------------------------------------*\
  	                   full
  	\*---------------------------------------*/
  	assign full_logic = ((wr_addr - rd_bin_d0) >=  FULL_LIMIT)? 1'b1 : 1'b0;
  	always @(posedge wrclk) begin 
  	  full <= full_logic;
  	end
	
	
	
endmodule 	

 仿真代码:

`timescale 1ns / 1ps
module tb_async_fifo;
	parameter  	ADDR_WIDTH   = 4;
	parameter  	DATA_WIDTH   = 8;
	parameter 	EMPTY_LIMIT  = 1'b1;
	parameter  	FULL_LIMIT   = 4'd15;

	reg                   wr_rst_n;
	reg                   rd_rst_n;

	reg                   wr_en;
	reg                   rd_en;
	reg  [DATA_WIDTH-1:0] wr_data;
	wire [DATA_WIDTH-1:0] rd_data;
	wire                  empty;
	wire                  full;

	reg 					wr_clk;
	reg 					rd_clk;

	initial begin 
		wr_clk = 0;
		rd_clk = 0;
		wr_rst_n = 0;
		rd_rst_n = 0;
		wr_en = 0;
		rd_en = 0;
		#20
		wr_rst_n = 1;
		rd_rst_n = 1;
		#20
		wr_en = 1;
		#30
		rd_en = 1;
	end


	always #10 wr_clk = ~wr_clk;
	always #5  rd_clk = ~rd_clk;

	always @(posedge wr_clk ) begin 
		if(!wr_rst_n)
			wr_data <= 0;
		else if(wr_data == 15)
			wr_data <= 0;
		else if(wr_en)
			wr_data <= wr_data + 1;
	end




	async_fifo #(
			.ADDR_WIDTH(ADDR_WIDTH),
			.DATA_WIDTH(DATA_WIDTH),
			.EMPTY_LIMIT(EMPTY_LIMIT),
			.FULL_LIMIT(FULL_LIMIT)
		) inst_async_fifo (
			.wrclk    (wr_clk),
			.rdclk    (rd_clk),
			.wr_rst_n (wr_rst_n),
			.rd_rst_n (rd_rst_n),
			.wr_en    (wr_en),
			.rd_en    (rd_en),
			.wr_data  (wr_data),
			.rd_data  (rd_data),
			.empty    (empty),
			.full     (full)
		);


endmodule

 仿真波形:

五,总结

        在处理跨时钟域时,转换为格雷码处理。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1631270.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

游戏新手村20:游戏落地页广告页如何设计

在互联网营销中,着陆页(Landing Page,有时被称为首要捕获用户页)就是当潜在用户点击广告或者搜索引擎搜索结果页后显示给用户的网页&#xff0c;LandingPage对于游戏广告的转化率和重要性就不言而喻了。 网页游戏LP页面 上图就是我们大家在浏览网站时不小心蹦出或者主动点击某…

一文讲解Android车载系统camera架构 - EVS

Android的camera开发中&#xff0c;使用最多的是camera2 以及现在Google主推的cameraX 架构&#xff0c;而这两个架构主要针对的是手机移动端上camera的流程。 而今天介绍的EVS(Exterior View System)架构是不同于camera2上的手机架构&#xff0c;针对Automotive的版本&#x…

数据库介绍(Mysql安装)

前言 工程师再在存储数据用文件就可以了&#xff0c;为什么还要弄个数据库? 一、什么是数据库&#xff1f; 文件保存数据有以下几个缺点&#xff1a; 文件的安全性问题文件不利于数据查询和管理文件不利于存储海量数据文件在程序中控制不方便 数据库存储介质&#xff1a; 磁…

Blender游戏资产优化技巧

创建视频游戏资产既具有挑战性又富有回报。 经过一些研究并根据我的经验&#xff0c;这里有三个技巧可以帮助你使用 Blender 优化游戏资产。 在 Blender 中优化游戏资源的三种技术可以归结为拥有高效的 3D 模型拓扑、通过烘焙优化纹理&#xff0c;以及最后通过 Blender 节点的…

智慧校园建设有哪些新策略?

在现有智慧校园建设方案中&#xff0c;智慧校园主要是用于解决学校日常事务&#xff0c;如学工管理&#xff0c;教工管理等&#xff0c;并利用数据分析&#xff0c;指导学校的一些决策行为。但随着新技术的不断发展&#xff0c;尤其是云计算、大数据、物联网、移动互联网、人工…

python应用-socket网络编程(1)

目录 1 先简单回顾下客户端和服务端通信的知识 2 服务端常用函数 3 客户端常用函数 4 服务端和客户端都用的函数 5 示例介绍客户端和服务端通信过程 6 建立服务端套接制 7 创建服务端函数socket.create_server() 8 创建客户端套接字 9 客户端连接函数socket.create_co…

春秋云镜 CVE-2023-50564

靶标介绍&#xff1a; Pluck-CMS v4.7.18 中的 /inc/modules_install.php 组件&#xff0c;攻击者可以通过上传一个精心制作的 ZIP 文件来执行任意代码。 开启靶场&#xff1a; 1、点击 admin 进入登录界面 2、使用Burp爆破出登录密码为&#xff1a;admin123&#xff0c;使用…

【吊打面试官系列】Java高并发篇 - 为什么 wait, notify 和 notifyAll 这些方法不在 thread类里面?

大家好&#xff0c;我是锋哥。今天分享关于 【为什么 wait, notify 和 notifyAll 这些方法不在 thread类里面&#xff1f;】面试题&#xff0c;希望对大家有帮助&#xff1b; 为什么 wait, notify 和 notifyAll 这些方法不在 thread类里面&#xff1f; 一个很明显的原因是 JAVA…

个人学习总结__打开摄像头、播放网络视频的以及ffmpeg推流

前言 最近入手了一款非常便宜的usb摄像头&#xff08;买回来感觉画质很低&#xff0c;没有描述的4k&#xff0c;不过也够用于学习了&#xff09;,想着利用它来开启流媒体相关技术的学习。第一步便是打开摄像头&#xff0c;从而才能够对它进行一系列后续操作&#xff0c;诸如实…

python-pytorch 如何使用python库Netron查看模型结构(以pytorch官网模型为例)0.9.2

Netron查看模型结构 参照模型安装Netron写netron代码运行查看结果需要关注的地方 2024年4月27日14:32:30----0.9.2 参照模型 以pytorch官网的tutorial为观察对象&#xff0c;链接是https://pytorch.org/tutorials/intermediate/char_rnn_classification_tutorial.html 模型代…

IDEA代码重构

重构 重构的目的&#xff1a; 提高代码的可读性、可维护性、可扩展性和性能。 重命名元素 重命名类 当我们进行重命名操作的时候可以看到第六行存在一个R(rename)&#xff0c;点击后就会弹出所偶有引用&#xff0c;这样可以避免我们在修改后存在遗漏引用处未修改。 我们可以通过…

Vue项目解决自定义el-dialog的border-radius无效的问题

一、问题产生的原因 自定义el-dialog的border-radius无效的原因其实就是因为层级的问题&#xff0c; f12打开样式检查就能发现组件自身已经全局定义了一个圆角变量 二、解决方法 和上面一样&#xff0c;在项目全局也就是在app.vue中定义全局变量 并且需要给!important&a…

【阿里云笔试题汇总】2024-04-20-阿里云春招笔试题-三语言题解(CPP/Python/Java)

&#x1f36d; 大家好这里是清隆学长 &#xff0c;一枚热爱算法的程序员 ✨ 本系列打算持续跟新阿里云近期的春秋招笔试题汇总&#xff5e; &#x1f4bb; ACM银牌&#x1f948;| 多次AK大厂笔试 &#xff5c; 编程一对一辅导 &#x1f44f; 感谢大家的订阅➕ 和 喜欢&#x1f…

3. uniapp开发工具的一些事

前言 新的一天&#xff0c;又要开始卷起来了&#xff0c;开发程序开发当前离不开开发工具&#xff0c;一个好的开发工具办事起来那必然是事倍功半的...本文主要分享了关于uniapp里开发工具的一些事~ 概述 阅读时间&#xff1a;约5&#xff5e;7分钟&#xff1b; 本文重点&am…

《深入理解mybatis原理》 MyBatis的架构设计以及实例分析

《深入理解mybatis原理》 MyBatis的架构设计以及实例分析 MyBatis是目前非常流行的ORM框架&#xff0c;它的功能很强大&#xff0c;然而其实现却比较简单、优雅。本文主要讲述MyBatis的架构设计思路&#xff0c;并且讨论MyBatis的几个核心部件&#xff0c;然后结合一个select查…

linux下安装deepspeed

安装步骤 一开始安装deepspeed不可以使用pip直接进行安装。 这时我们需要利用git进行clone下载到本地&#xff1a; git clone https://github.com/microsoft/DeepSpeed.git 进入到deepspeed的安装目录下 cd /home/bingxing2/ailab/group/ai4agr/wzf/Tools/DeepSpeed 激活…

探索小猪APP分发平台:数字时代的新宠

随着数字化进程的加速&#xff0c;移动应用&#xff08;APP&#xff09;市场正迅速扩大。在这个充满竞争的市场中&#xff0c;一个优秀的APP分发平台能够帮助开发者和商家更有效地触及潜在用户&#xff0c;而小猪APP分发平台&#xff08;www.ppzhu.net&#xff09;正是其中的佼…

Windows 下安装RabbitMQ

一、消息中间件 ActiveMQ&#xff1a;基于JMS RabbitMQ&#xff1a;基于AMQP协议&#xff0c;erlang语言开发&#xff0c;稳定性好 RocketMQ&#xff1a;基于JMS&#xff0c;阿里巴巴产品&#xff0c;目前交由Apache基金会 Kafka&#xff1a;分布式消息系统&#xff0c;高吞吐…

tableau如何传参数到MySQL数据库

1、打开tableau连接本地MySQL-》新建自定义sql-》创建参数 2、新建一个简单的工作表-》把维度拖拽到行显示结果-》右键显示参数 3、参数传递到数据库sql写法 select * from yonghu where yonghu.姓名 like concat(%,<参数.姓名>,%)select * FROMabadata4WHERE abadata4…