文章目录
- I.虚拟机搭建
- 1.1 Vmware安装
- 1.2 Win XP安装
- 1.3 xftp7安装
- I. Quartus II安装
- II. 使用说明
- 2.1 新建工程
- 2.2 在工程中加入代码
- 2.3 代码编译
- 波形仿真
I.虚拟机搭建
1.1 Vmware安装
Vmware17安装教程
1.2 Win XP安装
Win XP安装教程
1.3 xftp7安装
给虚拟机添加FTP
xftp7安装教程
I. Quartus II安装
Quartus II 安装教程
II. 使用说明
2.1 新建工程
file -> New Project wizard
一直next到选择芯片,选择Cydone IV E。
2.2 在工程中加入代码
file->new,选择VHDL file, 选择OK
2.3 代码编译
创建adder_1.vhd文件,粘贴如下代码:
library ieee;
use ieee.std_logic_1164.all;
entity led is
port(a, b: in std_logic;
c: out std_logic);
end entity;
architecture art of led is
begin
c <= not (a and b);
end architecture;
代码编译
编译通过
波形仿真
可使用Modelsim仿真(需另外安装Modelsim),或使用Quartus II自带仿真器进行仿真
配置Modelsim: https://jingyan.baidu.com/article/67662997bd197a54d51b8418.html
右击左侧栏 -> Insert node or bus -> List -> 全部导入 -> OK -> OK
若使用Quartus II自带的仿真器进行仿真,单击Simulation-Options
弹出一个只读的界面,上面是仿真结果(图为与非门的仿真结果)