vivado 使用IP Integrator源

news2024/9/28 21:29:55

使用IP Integrator源

在Vivado Design Suite中,您可以在RTL中添加和管理IP子系统块设计(.bd)项目或设计。使用Vivado IP集成程序,您可以创建IP子系统块设计。IP集成程序使您能够通过实例化和将Vivado IP目录中的多个IP核互连。可以交互创建设计通过Vivado IDE中的IP integrator画布或通过Tcl命令编程。对于有关使用IP集成程序的信息,请参阅《Vivado Design Suite用户指南:设计IP》使用IP集成器的子系统(UG994)。

重要!AMD Vivado™ IP集成商是Xilinx Platform Studio(XPS)的新替代品嵌入式处理器设计,包括针对AMD Zynq的设计™ 7000台设备和MicroBlaze™处理器。要将现有的XPS设计转移到Vivado IP集成程序中,请参阅从XPS迁移到IPISE到Vivado设计套件迁移指南中的Integrator主题

1.在流导航器中,展开IP Integrator。

2.选择“创建块设计”。

这将打开Vivado IP集成器设计画布,允许您在块中添加和连接IP设计请参阅Vivado Design Suite用户指南中的此链接:使用IP设计IP子系统Integrator(UG994),了解创建新块体设计的详细信息。

3.保存新的块设计时,它会自动添加到当前项目中。您也可以在当前项目之外创建块设计,以创建的存储库可以重复使用并添加到许多不同项目中的块设计。有关更多信息关于在当前项目之外创建块设计,请参阅Vivado design Suite中的此链接

用户指南:使用IP Integrator设计IP子系统(UG994)。

注:有关创建嵌入式处理器块设计的信息,请使用MicroBlaze™ 处理器或针对Zynq 7000设备,请参阅MicroBlaze处理器嵌入式设计用户指南(UG1579)。

添加现有块设计

添加在项目外部创建的块设计源,该块设计源可能位于块设计的存储库,您可以像使用任何其他命令一样使用“添加源”命令来源有关详细信息,请参见添加设计源。

将现有块设计添加到当前项目中时,项目可能会针对不同的AMD的部分比最初针对的部分块设计。这将导致所有IP用于被锁定并且需要更新的块设计。运行工具-report_ip_status以确定通过创建新块导入的ip的状态设计用于添加现有块设计源的Tcl命令

以下是相关的Tcl命令:

• Tcl Command: report_ip_status
• Tcl Command Example: report_ip_status -name ip_status

重要!当您尝试时,锁定的IP会报告为以下严重警告消息生成块设计的输出产品:[BD 41-1336]一个或多个IP被锁定在此方块设计。有关如何解决此问题的更多详细信息和建议,请运行report_ip_status。

1.要解锁IP和块设计,请在的“IP源”选项卡中右键单击块设计“源”窗口,然后选择“报告IP状态”命令。“IP状态”报告将显示解锁块设计所需的IP部件更改。

2.在IP Status报告窗口中,选择Upgrade Selected命令升级IP在块设计中使用,以针对当前项目中使用的新零件。将块设计添加到当前项目后,必须生成输出Vivado设计套件所需的产品,以支持整个街区的设计设计流程。

生成块设计的输出产品

一旦块设计完成并验证了设计,输出产品必须生成以在整个设计流程中支持块设计。这些输出产品

包括诸如Verilog或VHDL实例化模板或HDL包装文件之类的文件,以便于将块设计集成到当前项目中,设计约束文件(XDC)包括为块设计提供时序或物理约束,以及合成网表或设计检查点以支持块设计。块设计的输出产品以当前的目标语言生成项目如果无法在中生成块设计中使用的特定IP的源文件目标语言,一条消息返回到Tcl控制台,输出产品将以可用或支持的语言生成。

要生成输出产品,请右键单击块设计并选择“生成输出”“产品”命令,或从“流导航器”中选择“生成块设计”。将显示“生成输出产品”对话框,如下所示。

生成输出产品还生成块设计的顶级网表。这个网表是根据的目标语言设置以VHDL或Verilog生成的当前项目。默认情况下,为块内的每个IP创建合成设计检查点(DCP)文件设计以加快合成时间。您可以通过选择Out of来更改合成模式“生成输出产品”对话框上的“块设计”单选按钮的上下文。了解更多有关使用上下文外流的信息,请参阅Vivado Design Suite用户中的此链接指南:使用IP Integrator(UG994)设计IP子系统。创建并生成块设计后,您需要将其实例化到您的设计中通过选择方块设计RMB → 创建包装器,或通过实例化块设计在您自己的RTL中。在创建过程中,将出现对话框。

如果要修改包装,请选择“复制生成的包装”以允许用户编辑,否则,选择“让Vivado管理包装”以自动更新。将块设计实例化到当前项目中IP积分器块设计可以实例化到HDL设计的层次结构中,或者它可以是定义为设计层次结构的顶层。要将块设计集成到现有的设计层次结构中,请打开的HDL包装方块设计。当您生成输出产品。HDL包装器提供Verilog模块声明,或者用于块设计的VHDL实体声明,并创建块设计模块的实例包装中。您可以在HDL包装中编辑实例定义,并将其剪切粘贴到设计层次结构。

HDL包装器也可以用于将块设计定义为设计的顶层。对于有关详细信息,请参阅《Vivado Design Suite用户指南:使用设计IP子系统》中的此链接IP集成器(UG994)。

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1386843.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

运筹说 第56期 | 整数规划的数学模型割平面法

前几章讨论过的线性规划问题的一个共同特点是:最优解的取值可以是分数或者小数。然而,在许多实际问题中,决策者要求最优解必须是整数,例如公交车的车辆数、员工的人数、机器的台数、产品的件数等。那么,我们能否将得到…

Zynq7020 使用 Video Processing Subsystem 实现图像缩放

1、前言 没玩过图像缩放都不好意思说自己玩儿过FPGA,这是CSDN某大佬说过的一句话,鄙人深信不疑。。。 目前市面上主流的FPGA图像缩放方案如下:1:Xilinx的HLS方案,该方案简单,易于实现,但只能用…

【RTOS】快速体验FreeRTOS所有常用API(4)队列

目录 四、队列2.1 概念2.2 创建队列2.3 写队列2.4 读队列2.5 队列集(可跳过) 四、队列 该部分在上份代码基础上修改得来,代码下载链接: https://wwzr.lanzout.com/iBNAS1l75bvc 密码:7xy2 该代码尽量做到最简,不添加多…

软件技术写作指南

▲ 搜索“大龙谈智能内容”关注公众号▲ James是一位居住在苏格兰的文档工程师。 2023年12月,他写了一个名为《Advent of Technical Writing》的系列文章。从12月1日到12月24日,他每天都会在其中发表一篇关于技术写作的博客文章。现在,该系…

c语言for循环和水仙花

c语言for循环和水仙花 c语言for循环和水仙花 c语言for循环和水仙花一、for循环语句格式二、for循环案例水仙花 一、for循环语句格式 for(初始值&#xff1b;表达式&#xff1b;表达式) { 代码 }int main() {for (int i 0; i < 10; i){printf("%d\n", i);} }二、f…

Python数据分析案例32——财经新闻爬虫和可视化分析

案例背景 很多同学的课程作业都是需要自己爬虫数据然后进行分析&#xff0c;这里提供一个财经新闻的爬虫案例供学习。本案例的全部数据和代码获取可以参考&#xff1a;财经新闻数据 数据来源 新浪财经的新闻网&#xff0c;说实话&#xff0c;他这个网站做成这样就是用来爬虫的…

【docker笔记】DockerFile

DockerFile Docker镜像结构的分层 镜像不是一个单一的文件&#xff0c;而是有多层构成。 容器其实是在镜像的最上面加了一层读写层&#xff0c;在运行容器里做的任何文件改动&#xff0c;都会写到这个读写层。 如果删除了容器&#xff0c;也就是删除了其最上面的读写层&…

解决Qt的release构建下无法进入断点调试的问题

在工作的时候遇到了第三方库只提供release版本的库的情况&#xff0c;我需要在这基础上封装一层自家库&#xff0c;在调试的时候遇到如下问题&#xff0c;但是在Qt环境下&#xff0c;release的库只能在进行release构建和调试。 卡在了一直进不了断点的情况。提示内容如下&#…

苹果手机怎么退出QQ群聊?方法简单,一学就会!

QQ作为中国流行的社交软件之一&#xff0c;被大家广泛使用。有时候&#xff0c;我们可能会不小心加入了一些不需要的群聊&#xff0c;或者发现群聊的内容不再符合您的兴趣。 那么&#xff0c;大家这时候可以考虑退出群聊。怎么退出qq群聊&#xff1f;本文将为大家提供详细的步…

SpringBoot中整合ElasticSearch实现增删改查等操作

场景 SpringBoot中整合ElasticSearch快速入门以及踩坑记录&#xff1a; https://blog.csdn.net/BADAO_LIUMANG_QIZHI/article/details/135599698 在上面进行集成的基础上&#xff0c;实现对ES数据的增删改查等操作。 注&#xff1a; 博客&#xff1a;霸道流氓气质-CSDN博客…

SeaTunnel 海量数据同步工具的使用(连载中……)

一、概述 SeaTunnel 是一个非常易用&#xff0c;高性能、支持实时流式和离线批处理的海量数据处理产品&#xff0c;前身是 WaterDrop &#xff08;中文名&#xff1a;水滴&#xff09;&#xff0c;自 2021年10月12日更名为 SeaTunnel 。2021年12月9日&#xff0c;SeaTunnel 正式…

微服务原理

微服务篇 文章目录 微服务篇SpringCloud常见组件 Nacos篇下载源码导入Nacos源码proto编译protobuf定义安装protoc编译proto 运行Nacos服务服务注册服务注册接口客户端NacosServiceRegistryAutoConfigurationNacosAutoServiceRegistrationNacosServiceRegistryNacosNamingServic…

计算机二级Python基本排序题-序号43(补充)

1. 在一组单词中&#xff0c;查找出所有长度最长的单词&#xff0c;如果给定的一组单词是&#xff1a;“cad” ,“VB”.“Python” ,“MATLAB” , “hel1o” , “world” 则输出结果为&#xff1a;the longest words are: Python MATLAB def proc(strings): …

Leetcode1441.用栈操作构建数组

文章目录 题目原题链接思路代码 题目 给你一个数组 target 和一个整数 n。每次迭代&#xff0c;需要从 list { 1 , 2 , 3 …, n } 中依次读取一个数字。 请使用下述操作来构建目标数组 target &#xff1a; “Push”&#xff1a;从 list 中读取一个新元素&#xff0c; 并将其…

多视图多标签学习

一、多视图学习 多视图学习又称多视角学习&#xff0c;在实际应用问题中&#xff0c;对于同一事物可以从多种不同的途径或不同的角度进行描述&#xff0c;这些不同的描述构成了事物的多个视图。例如&#xff1a;在与人们生活息息相关的互联网中&#xff0c;网页数据既可以用网…

参与直播领取龙年大礼盒!23年Coremail社区年终福利大放送

2023年终福利大放送 Coremail 管理员社区是由 Coremail 邮件安全团队、服务团队及多条产品线共同维护&#xff0c;集 7*24h 在线自助查询、技术问答交流、大咖互动分享、资料下载等功能于一体&#xff0c;专属于 Coremail 邮件管理员、安全员成长互动的知识库社区。 转眼间&am…

RabbitMQ交换机(2)-Direct

1.Direct 直连(路由)交换机,生产者将消息发送到交换机&#xff0c;并指定消息的Routing Key&#xff08;路由键&#xff09;。交换机会将Routing Key与队列绑定进行匹配&#xff0c;如果匹配成功&#xff0c;则将该消息路由到对应的队列中。如果没有匹配成功&#xff0c;该消息…

统计学-R语言-4.6

文章目录 前言列联表条形图及其变种---单式条形图条形图及其变种---帕累托图条形图及其变种---复式条形图条形图及其变种---脊形图条形图及其变种---马赛克图饼图及其变种---饼图饼图及其变种---扇形图直方图茎叶图箱线图小提琴图气泡图总结 前言 本篇文章是对数据可视化的补充…

利用fd子系统实现图案与图片显示方法

//第一&#xff1a;利用fb子系统画圆的方法与实现 //1、头文件信息 #include <sys/ioctl.h> #include <linux/fb.h> #include <stdio.h> #include <sys/types.h> #include <stdio.h> #include <sys/mman.h> #include <sys/types.h>…