vivado产生报告阅读分析6-时序报告2

news2024/10/5 17:19:19
1、复查时序路径详情
单击“ OK ”运行报告命令后 将打开一个新窗口。这样您即可复查其中内容。在其中可查看执行选定的每种类型 (min/max/min_max ) 的分析之后所报告的 N 条最差路径。 下图显示的“Report Timing 时序报告 窗口中已选中最小和最大分析 SETUP HOLD ), N=4
选中其中任意路径即可在“ Path Properties 路径属性 窗口的“ Report 报告 选项卡下查看其详情。
2、Report Timing Summary
综合后即可在流程中随时执行时序分析。您可复查由综合和实现运行自动创建的“ Timing Summary 时序汇总 报告文件。 如果在存储器中已加载综合后设计或实现后设计, 那么还可通过以下方式生成交互式“ Timing Summary ”报告
• “ Flow Navigator ” → “ Synthesis Flow Navigator > 综合
• “ Flow Navigator ” → “ Implementation Flow Navigator > 实现
• “ Reports ” → “ Timing ” → “ Report Timing Summary 报告 > 时序 > 时序汇总报告
等效的 Tcl 命令为 report_timing_summary
在综合后设计中 AMD Vivado IDE 时序引擎会基于连接和扇出来估算信号线延迟。对于已由用户布局的单元之间的信号线, 延迟准确性更高。在包含部分预布局单元 例如 I/O GT 的路径上 时钟偏差可能更大。 在实现后设计中, 基于实际布线信息来估算信号线延迟。对于已完全布线的设计 必须使用“ Timing Summary ”报告来实现时序验收。要验证设计是否已完全布线, 请复查“ Route Status 布线状态 报告。 从 Tcl 控制台或从 GUI 运行此时序汇总报告时 可使用 -cells 选项将其限定于 1 个或多个层级单元。限定报告作用域后, 将仅报告含如下数据路径部分的路径 数据路径开始或结束于此类单元、与此类单元交汇或者完全包含于此类单元内。
Tcl 控制台运行时 此报告的第一部分提供了来自最新的 report_methodology 运行的方法论违例汇总信息。从GUI 运行 report_timing_summary 这部分名为“ Methodology Summary 方法论汇总 。如果运行report_timing_summary 之前尚未运行 report_methodology 则这部分为空。如果自从上一次 report_methodology 运行后已实现任意设计更改 那么违例汇总信息可能并未提供最新信息。
3、“ Report Timing Summary ”对话框
Vivado IDE Report Timing Summary 时序汇总报告 对话框包含以下选项卡
Options ”选项卡
Advanced ”选项卡
Timer Settings ”选项卡
位于“ Report Timing Summary ”对话框顶部的“ Results name 结果名称 字段用于指定在“ Results 结果 窗口中打开的图形化报告的名称。图形化版本的报告包含超链接, 支持您将来自报告的信号线和单元交叉引用至“Device 器件 和“ Schematic 板级原理图 窗口以及设计源文件。 如果该字段留空, 那么报告将返回 Tcl 控制台 且在“ Results ”窗口中不会打开图形化版本的报告。 等效的 Tcl 选项 -name
Options ”选项卡
Report Timing Summary 时序汇总报告 对话框中的“ Options 选项 选项卡如下图所示。
Report ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Report 报告 部分包含 以下内容:
• “ Path delay type 路径延迟类型
用于设置要运行的分析类型。对于综合后设计 默认情况下仅执行最大延迟分析 建立 / 恢复 。对于已实现的设计, 默认情况下 将执行最小和最大延迟分析 建立 / 保持和恢复 / 移除 。要仅运行最小延迟分析 保持和移除), 请选择延迟类型 min 。 等效的 Tcl 选项 -delay_type
• “ Report unconstrained paths 报告未约束路径
生成不含时序要求的路径的相关信息。默认情况下 Vivado IDE 中已选中该选项 但在等效的 Tcl 命令 report_timing_summary 中默认不开启该选项。等效的 Tcl 选项 -report_unconstrained
• “ Report datasheet 数据手册报告 ) 生成本章中的 Report Datasheet 中所定义的设计数据手册。 等效的 Tcl 选项 -datasheet
Path Limits ”部分
Report Timing Summary 时序汇总报告 对话框中“ Options 选项 选项卡的“ Path Limits 路径限制 部 分包括:
• “ Maximum number of paths per clock or path group 各时钟或路径组的最大路径数 ): 控制每个时钟对或每个路径组所报告的最大路径数。 等效的 Tcl 选项 -max_paths
• “ Maximum number of worst paths per endpoint 各端点的最差路径的最大数量 ): 控制每个路径端点可能报告的最大路径数。此限制受到每个时钟对或路径组的最大数量的限制。因此, 报告的路径总数仍受到 -max_paths 数量的限制。 等效的 Tcl 选项 -nworst
Path Display ”部分
Report Timing Summary 时序汇总报告 对话框的“ Options 选项 选项卡的“ Path Display 路径显示 ) 部分包括:
• “ Display paths with slack less than 显示裕量小于指定值的路径 ): 基于路径的裕量值筛选报告的路径。此选项不影响汇总表的内容。 等效的 Tcl 选项 -slack_lesser_than
• “ Significant digits 有效位数 ): 控制报告中显示的数值的精确度。 等效的 Tcl 选项 -significant_digits
通用部分
以下控件为位于“ Report Timing Summary 时序汇总报告 对话框底部的全部 3 个选项卡通用的控件
• “ Command 命令 ): 显示等效于“ Report Timing Summary ”对话框中指定的各种选项的 Tcl 命令行。
• “ Open in a New Tab 在新选项卡中打开 ): 在新选项卡中打开结果 或替换“ Results 结果 窗口中打开的最后一个选项卡。
• “ Open in Timing Analysis layout 在时序分析布局中打开 ): 将当前视图布局复位为“ Timing Analysis 时序 分析) 视图布局。
Advanced ”选项卡
Report ”部分
• “ Report from cell 基于单元的报告 ): 启用该选项即可将时序报告限制在设计的特定单元上。报告将仅包含数据路径部分始于指定单元、止于该单元、跨该单元或完全包含于该单元内的路径。
等效的 Tcl 选项 -cells
• “ Show input pins in path 显示路径中的输入管脚 ): 显示用于路径的单元输入管脚。 等效的 Tcl 选项 -input_pins
• “ Report unique Pins 唯一管脚报告 ): 针对每一组唯一的管脚仅显示 1 条时序路径。
等效的 Tcl 选项 -unique_pins
File Output ”部分
• “ Write results to file 将结果写入文件 ): 将结果写入指定文件名。默认情况下 报告将写入 Vivado IDE 的 “Timing 时序 窗口。
等效的 Tcl 选项 -file
• “ Overwrite 覆盖 或“ Append 追加 ): 当报告写入文件时 2 个选项可用于确定 (1) 覆盖指定文件 , 还是 (2) 向现有报告追加新信息。
等效的 Tcl 选项 -append
• “ Interactive report file 交互式报告文件 ): 将结果以 AMD RPX 格式写入指定的文件中。 RPX 文件是一个包含所有报告信息的交互式报告, 可在 Vivado Design Suite 中使用 open_report 命令将其重新加载到存储器中。
Miscellaneous ”部分
• “ Ignore command errors 忽略命令错误 ): 以静默方式执行命令 忽略所有命令行错误 不返回任何消息。此命令还会返回 TCL_OK 忽略执行期间遇到的所有错误。 等效的 Tcl 选项 -quiet
• “ Suspend message limits during command execution 命令执行期间暂挂消息限制 ): 临时覆盖所有消息限制并返回所有消息。 等效的 Tcl 选项 -verbose
Timer Settings ”选项卡
如需执行定时器设置 请使用如下任一方法 (1) 任一 Vivado IDE 时序分析对话框 或者 (2) 本节中列出的任一 Tcl 命令。这些设置会影响同一 Vivado IDE 会话内运行的其他时序相关命令 但综合和实现命令除外。 定时器设置不保存为工具首选项。每个新会话都会复原默认值。请勿更改默认值。保留默认值将以最准确的延迟值来提供最大的时序分析覆盖范围。
Interconnect 设置
该选项用于控制信号线延迟计算方式 根据估算的叶节点单元管脚间布线距离来计算 还是根据实际布线的信号线来计算, 或者从时序分析中排除信号线延迟。对于综合后设计 该选项自动设置为“ Estimated 对于实现后设计 该选 项自动设置为“Actual ”。
• “ Estimated 估算 ): 对于未布局的单元 信号线延迟值对应于可能实现的最佳布局的延迟 基于驱动程序和负载的性质以及扇出来计算。在时序路径报告中, 未布局的叶节点单元管脚之间的信号线标记为未布局 (unplaced) 。 对于已布局的单元, 信号线延迟取决于驱动程序和负载之间的距离以及扇出。此信号线在时序路径报告中标记为estimated。
• “ Actual 实际 ): 对于已布线的信号线 信号线延迟对应于已布线的互连的实际硬件延迟。此信号线在时序路径报告中标记为 routed
• “ None ): 在时序报告中不考虑互连延迟 信号线延迟强制为 0 。 等效的 Tcl 命令 set_delay_model
Multi-Corner Configuration ”设置
指定要针对指定时序角分析的路径延迟类型。有效值包括 none max min min_max 。选择 none 为指定时序角禁用的时序分析。等效的 Tcl 命令:config_timing_corners
Disable Flight Delays
不将封装延迟添加到 I/O 延迟计算中。 等效的 Tcl 命令 config_timing_analysis

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1217832.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【无标题】chapter6卷积

此例以说明全连接层处理图片的时候会遇到参数过多 模型过大的问题 参数比要研究的物体总数还多 卷积,特殊的全联接层 平移不变形,局部性 原本权重为二维(输入和输出全联接,想想下表组合,就是个二维的矩阵)…

pytorch 安装 2023年

pytorch网址:https://pytorch.org/get-started/locally/ conda install pytorch torchvision torchaudio pytorch-cuda11.8 -c pytorch -c nvidia我在自己电脑上用这个pip命令完全安装不了,只能用conda安装。复制上面提供的命令,在cmd中直接运…

腾讯云服务器新用户优惠政策,腾讯云新人服务器购买入口

腾讯云服务器新用户优惠政策是腾讯云为新用户提供的一种优惠,它可以帮助新用户以更低的价格购买腾讯云服务器,并且还提供一些额外的优惠。腾讯云服务器对于新用户有着非常优惠的政策。无论你是个人用户还是企业用户,只要你是第一次购买腾讯云…

flutter TabBar指示器

第一层tabView import package:jade/configs/PathConfig.dart; import package:jade/customWidget/MyCustomIndicator.dart; importpackage:jade/homePage/promotion/promotionPost/MyPromotionListMainDesc.dart; import package:jade/homePage/promotion/promotionPost/MyPr…

ProtocolBuffers(protobuf)详解

目录 前言特点语法定义关键字JSON与Protocol Buffers互相转换gRPC与Protocol Buffers的关系 前言 Protocol Buffers(通常简称为protobuf)是Google公司开发的一种数据描述语言,它能够将结构化数据序列化,可用于数据存储、通信协议…

交换排序详讲:冒泡排序+快速排序(多方法+思路+图解+代码)

文章目录 交换排序一.冒泡排序二.快速排序1.挖坑法2.Hoare法 交换排序 根据序列中两个记录键值的比较结果来对换这两个记录在序列中的位置将键值较大的记录向序列的尾部移动,键值较小的记录向序列的前部移动。 一.冒泡排序 /*** 冒泡排序* 时间复杂度 n^2* 空间复杂…

用Postman发送xml数据

启动Postman: 点击左上角的“New”,在弹出窗中选择HTTP: 选择POST方法: 点击Body: 选择raw: 在右侧的下拉列表中选择XML: 在下面的输入框中输入或者从其它地方拷贝XML文本:…

cookie机制

目录 为什么会有cookie?? cookie从哪里来的?? cookie到哪里去?? cookie有啥用?? session HttpServletRequest类中的相关方法 简单的实现cookie登录功能 实现登录页面 实现servlet逻辑 实现生成主…

uni-app 蓝牙打印, CPCL指令集使用

先上代码: GitHub - byc233518/uniapp-bluetooth-printer-demo: 使用uniApp 连接蓝牙打印机 Demo, CPCL 指令简单实用示例 (内含 芝珂,佳博,精臣 多个厂家指令集使用文档) 文件结构: ├── App.vue ├── CPCL 指令手册.pdf // 指令集参考手册 ├── LICENSE ├── R…

【JAVA-排列组合】一个套路速解排列组合题

说明 在初遇排列组合题目时,总让人摸不着头脑,但是做多了题目后,发现几乎能用同一个模板做完所有这种类型的题目,大大提高了解题效率。本文简要介绍这种方法。 题目列表 所有题目均从leetcode查找,便于在线验证 46.…

Mindomo Desktop for Mac(免费思维导图软件)下载

Mindomo Desktop for Mac是一款免费的思维导图软件,适用于Mac电脑用户。它可以帮助你轻松创建、编辑和共享思维导图,让你的思维更加清晰、有条理。 首先,Mindomo Desktop for Mac具有直观易用的界面。它采用了Mac独特的用户界面设计&#xf…

2023年首届天府杯数学建模国际大赛问题A思路详解与参考代码:大地测量数据中异常现象的特征和识别

地球变形观测是固体潮汐曲线分析和地震前体研究的重要手段,也是地球观测技术的重要组成部分。基于各种精密科学仪器的变形观测点主要集中在洞穴、地下井等易的自然灾害(雷暴、强降雨、降雪等),人工维护、人工爆破等外部条件&#…

浅谈安科瑞无线测温产品在巴西某工厂的应用

摘 要:高压开关设备是变电站和配电站中保证电力系统安全运行的重要设备之一,因此,开关柜的稳定运行对于整个电力系统有非常重要的意义。设备老化、长期高负荷运行都可能使设备局部温度过高而发生火灾,因此,对变电站内的敏感设备进行温度检测变得尤为重要…

chrome 浏览器个别字体模糊不清

特别是在虚拟机里,有些字体看不清,但是有些就可以,设置办法: chrome://settings/fonts 这里明显可以看到有些字体就是模糊的状态: 把这种模糊的字体换掉即可解决一部分问题。 另外,经过观察,…

Unity开发之C#基础-集合(字典)(Dictionary)

前言 Hello 兄弟们 一转眼俩月又过去了(失踪人口回归) 不出意外的是出意外了 失踪了两个月 有点对不起我这为数不多的粉丝们 实不相瞒忙的焦头烂额 也没心情写博客 实在对不住各位 好了长话短说 今天这篇文章是讲解c#当中的新的一种集合的表现&#xff…

​软考-高级-系统架构设计师教程(清华第2版)【第10章 软件架构的演化和维护(P345~382)-思维导图】​

软考-高级-系统架构设计师教程(清华第2版)【第10章 软件架构的演化和维护(P345~382)-思维导图】 课本里章节里所有蓝色字体的思维导图

idea运行项目之后一直卡在Writing classes… 解决方案

最近遇到idea里直接运行一个Spring boot项目后,idea一直慢悠悠的parsing java,然后就writing classes,然后就一直卡着不动了,运气好10几分钟能把项目启动起来。 多年的摸鱼经验告诉我,事出反常必有妖,赶紧…

python趣味编程-5分钟实现一个测验应用程序(含源码、步骤讲解)

Python测验是用 Python 编程语言编写的,这个关于 Python 编程的简单测验是一个简单的项目,用于测试一个人在给定主题考试中的知识能力。 Python 中的 Quiz项目仅包含用户端。用户必须先登录或注册才能开始Python 测验。 此外,还规定了解决问题的时间。用户应在时间结束前解…

Python---列表 集合 字典 推导式(本文以 字典 为主)

推导式: 推导式comprehensions(又称解析式),是Python的一种独有特性。推导式是可以从一个数据序列构建另一个新的数据序列(一个有规律的列表或控制一个有规律列表)的结构体。 共有三种推导:列表…

系列七、JVM的内存结构【堆(Heap)】

一、概述 一个JVM实例只存在一个堆内存,堆内存的大小是可以手动调节的。类加载器读取了类文件后,需要把类、方法、常变量放到堆内存中,保存所有引用类型的真实信息,以方便执行器执行,堆内存分为三个部分,即…