STM32两轮平衡小车原理详解(开源)

news2024/7/6 17:34:44

一、引言

关于STM32两轮平衡车的设计,我想在读者阅读本文之前应该已经有所了解,所以本文的重点是代码的分享和分析。至于具体的原理,我觉得读者不必阅读长篇大论的文章,只需按照本文分享的代码自己亲手制作一辆平衡车,其原理并不言而喻了。源完整代码工程在文章末尾百度网盘链接,请需要的读者自行下载即可。

另外,由于平衡车的精髓在于PID算法的运用,有需要了解PID算法的读者可以参考以下两篇文章:

PID算法详解(代码详解篇),位置式PID、增量式PID(通用)_pid 代码-CSDN博客

PID算法详解(精华知识汇总)_小小_扫地僧的博客-CSDN博客

二、所需材料

1、STM32F03C8T6

2、MPU6050

3、蓝牙模块

4、编码电机

5、TB6612

6、电源+稳压模块

7、OLED显示模块

三、接线强调

1、TB6612接线

2、蓝牙模块与单片机之间

单片机                蓝牙模块

 TX      ——>     RX  

 RX      ——>     TX  

3、MPU6050 

使用IIC通信,所以对照代码接SDA、SCL、GND、VCC、IN(中断触发线)

四、功能介绍

1、两轮平衡直立

2、蓝牙APP控制运动状态

3、遥控手柄控制

4、超声波避障

五、关键算法

PID算法对编码电机的控制

1.位置闭环控制

        位置闭环控制就是根据编码器的脉冲累加测量电机的位置信息,并与目标值进行比较,得到控制偏差,然后通过对偏差的比例、积分、微分进行控制,使偏差趋向于零的过程 位置闭环控制就是根据编码器的脉冲累加测量电机的位置信息,并与目标值进行比较,得到控制偏差,然后通过对偏差的比例、积分、微分进行控制,使偏差趋向于零的过程.

1.1理论分析

1.2控制原理图 

1.3C语言实现 

int Position_PID (int Encoder, int Target)
{
    static float Bias, Pwm,Integral_bias,Last_Bias;
    Bias=Encoder-Target;//计算偏差
    Integral_bias+=Bias; //求出偏差的积分
    Pwm=Position_KP*Bias+Position_KI*Integral_bias+Position_KD*(Bias-Last_Bias);Last_Bias=Bias;  //保存上一次偏差
    return Pwm; //输出
}
   

入口参数为编码器的位置测量值和位置控制的目标值,返回值为电机控制PWM(现在再看一下上面的控制原理图是不是更加容易明白了)。
第一行是相关内部变量的定义。
第二行是求出速度偏差,由测量值减去目标值。第三行通过累加求出偏差的积分。
第四行使用位置式PID控制器求出电机 PWM。第五行保存上一次偏差,便于下次调用。最后一行是返回。
然后,在定时中断服务函数里面调用该函数实现我们的控制目标:Moto=Position_PID(Encoder, Target_Position);
Set_Pwm(Moto) ;//===赋值给PWM寄存器

2、速度闭环控制

速度闭环控制就是根据单位时间获取的脉冲数(这里使用了M法测速)测量电机的速度信息,并与目标值进行比较,得到控制偏差,然后通过对偏差的比例、积分、微分进行控制,使偏差趋向于零的过程。
一些PID的要点在位置控制中已经有讲解,这里不再赘叙。
需要说明的是,这里速度控制20ms一次,一般建议10ms或者5ms,因为在这里电机是使用USB供电,速度比较慢,20ms可以延长获取速度的单位时间,提高编码器的采值。

 2.1理论分析

根据增量式离散PID公式 根据增量式离散PID公式
Pwm+=Kp[e(k)-e(k-1)]+Ki*e(k)+Kd[e(k)-2e(k-1)+e(k-2)]
e(k):本次偏差
e(k-1):上一次的偏差e (k-2):上上次的偏差
Pwm 代表增量输出

在我们的速度控制闭环系统里面只使用PI控制,因此对PID控制器可简化为以下公式:
Pwm+=Kp[e(k)-e(k-1)]+Ki*e(k)

2.2 控制原理图

2.3 C语言实现

增量式PI控制器具体通过C语言实现的代码如下:
 

int Incremental_PI (int Encoder,int Target)
{
    static float Bias, Pwm, Last_bias;
    Bias=Encoder-Target;//计算偏差
    Pwm+=Velocity_KP*(Bias-Last_bias)+Velocity_KI*Bias;//增量式PI控制器
    Last_bias=Bias;//保存上一次偏差
    return Pwm;//增量输出
}

入口参数为编码器的速度测量值和速度控制的目标值,返回值为电机控制PWM。
第一行是相关内部变量的定义。
第二行是求出速度偏差,由测量值减去目标值。第三行使用增量PI控制器求出电机PWM。
第四行保存上一次偏差,便于下次调用。最后一行是返回。
然后,在定时中断服务函数里面调用该函数实现我们的控制目标:

Moto=Incremental_PI(Encoder, Target_Velocity);Set_Pwm(Moto);//===赋值给对应MCU的PWM寄存器

六、关键代码分析

1、编码电机PID算法控制

#include "control.h"
#include "usart2.h"

/**************************************************************************
函数功能:所有的控制代码都在这里面
         5ms定时中断由MPU6050的INT引脚触发
         严格保证采样和数据处理的时间同步	
				 在MPU6050的采样频率设置中,设置成100HZ,即可保证6050的数据是10ms更新一次。
				 读者可在imv_mpu.h文件第26行的宏定义进行修改(#define DEFAULT_MPU_HZ  (100))
**************************************************************************/
#define SPEED_Y 100 //俯仰(前后)最大设定速度
#define SPEED_Z 80//偏航(左右)最大设定速度 

int Balance_Pwm,Velocity_Pwm,Turn_Pwm,Turn_Kp;

float Mechanical_angle=8; 
float Target_Speed=0;	//期望速度(俯仰)。用于控制小车前进后退及其速度。
float Turn_Speed=0;		//期望速度(偏航)

//针对不同车型参数,在sys.h内设置define的电机类型
float balance_UP_KP=BLC_KP; 	 // 小车直立环PD参数
float balance_UP_KD=BLC_KD;

float velocity_KP=SPD_KP;     // 小车速度环PI参数
float velocity_KI=SPD_KI;

float Turn_Kd=TURN_KD;//转向环KP、KD
float Turn_KP=TURN_KP;



void EXTI9_5_IRQHandler(void) 
{
	static u8 Voltage_Counter=0;
	if(PBin(5)==0)
	{
		EXTI->PR=1<<5;                                          //清除LINE5上的中断标志位   
		mpu_dmp_get_data(&pitch,&roll,&yaw);		            //得到欧拉角(姿态角)的数据
		MPU_Get_Gyroscope(&gyrox,&gyroy,&gyroz);				//得到陀螺仪数据
		Encoder_Left=Read_Encoder(2);                           //读取编码器的值,保证输出极性一致
		Encoder_Right=-Read_Encoder(3);                         //读取编码器的值
		Led_Flash(100);
		
		Voltage_Counter++;
		if(Voltage_Counter==20)                                 //100ms读取一次电压
		{
			Voltage_Counter=0;
			Voltage=Get_battery_volt();		                    //读取电池电压
		}
		
		if(KEY_Press(100))										//长按按键切换模式并触发模式切换初始化
		{
			if(++CTRL_MODE>=101) 
				CTRL_MODE=97;
			Mode_Change=1;
		}
		
		Get_RC();
			
		Target_Speed=Target_Speed>SPEED_Y?SPEED_Y:(Target_Speed<-SPEED_Y?(-SPEED_Y):Target_Speed);//限幅
		Turn_Speed=Turn_Speed>SPEED_Z?SPEED_Z:(Turn_Speed<-SPEED_Z?(-SPEED_Z):Turn_Speed);//限幅( (20*100) * 100)
			
		Balance_Pwm =balance_UP(pitch,Mechanical_angle,gyroy);   							//===直立环PID控制	
		Velocity_Pwm=velocity(Encoder_Left,Encoder_Right,Target_Speed);       //===速度环PID控制	 
		Turn_Pwm =Turn_UP(gyroz,Turn_Speed);        						  //===转向环PID控制
		Moto1=Balance_Pwm-Velocity_Pwm+Turn_Pwm;                              //===计算左轮电机最终PWM
		Moto2=Balance_Pwm-Velocity_Pwm-Turn_Pwm;                              //===计算右轮电机最终PWM
	    Xianfu_Pwm();  														  //===PWM限幅
		Turn_Off(pitch,12);													  //===检查角度以及电压是否正常
		Set_Pwm(Moto1,Moto2);                                                 //===赋值给PWM寄存器  
	}
}

/**************************************************************************
函数功能:直立PD控制
入口参数:角度、机械平衡角度(机械中值)、角速度
返回  值:直立控制PWM
**************************************************************************/
int balance_UP(float Angle,float Mechanical_balance,float Gyro)
{  
   float Bias;
	 int balance;
	 Bias=Angle-Mechanical_balance;    							 //===求出平衡的角度中值和机械相关
	 balance=balance_UP_KP*Bias+balance_UP_KD*Gyro;              //===计算平衡控制的电机PWM  PD控制   kp是P系数 kd是D系数 
	 return balance;
}

/**************************************************************************
函数功能:速度PI控制
入口参数:电机编码器的值
返回  值:速度控制PWM
**************************************************************************/
int velocity(int encoder_left,int encoder_right,int Target_Speed)
{  
    static float Velocity,Encoder_Least,Encoder;
	  static float Encoder_Integral;
   //=============速度PI控制器=======================//	
		Encoder_Least =(Encoder_Left+Encoder_Right);//-target;              //===获取最新速度偏差==测量速度(左右编码器之和)-目标速度 
		Encoder *= 0.8;		                                                //===一阶低通滤波器       
		Encoder += Encoder_Least*0.2;	                                    //===一阶低通滤波器    
		Encoder_Integral +=Encoder;                                         //===积分出位移 积分时间:10ms
		Encoder_Integral=Encoder_Integral - Target_Speed;                   //===接收遥控器数据,控制前进后退
		if(Encoder_Integral>10000)  	Encoder_Integral=10000;             //===积分限幅
		if(Encoder_Integral<-10000)		Encoder_Integral=-10000;            //===积分限幅	
		Velocity=Encoder*velocity_KP+Encoder_Integral*velocity_KI;          //===速度控制	
	  if(pitch<-40||pitch>40) 			Encoder_Integral=0;     			//===电机关闭后清除积分
	  return Velocity;
}
/**************************************************************************
函数功能:转向PD控制
入口参数:电机编码器的值、Z轴角速度
返回  值:转向控制PWM
**************************************************************************/

int Turn_UP(int gyro_Z, int RC)
{
	int PWM_out;
		/*转向约束*/
	if(RC==0)
		Turn_Kd=TURN_KD;                                              //若无左右转向指令,则开启转向约束
	else 
		Turn_Kd=0;                                                    //若左右转向指令接收到,则去掉转向约束
	
	PWM_out=Turn_Kd*gyro_Z + Turn_KP*RC;
	return PWM_out;
}

void Tracking()
{
	TkSensor=0;
	TkSensor+=(C1<<3);
	TkSensor+=(C2<<2);
	TkSensor+=(C3<<1);
	TkSensor+=C4;
}
void Get_RC()
{
	static u8 SR04_Counter =0;
	static float RATE_VEL = 1;
	float RATE_TURN = 1.6;
	float LY,RX;      //PS2手柄控制变量
	int Yuzhi=2;  		//PS2控制防抖阈值
	switch(CTRL_MODE)
	{
		case 97:
			SR04_Counter++;
			if(SR04_Counter>=20)									         //100ms读取一次超声波的数据
			{
				SR04_Counter=0;
				SR04_StartMeasure();												 //读取超声波的值
			}
			if(SR04_Distance<=30)				
			{
				Target_Speed=0,Turn_Speed=40;
			}
			else
			{
				Target_Speed=30,Turn_Speed=0;
			}
			break;
			
		case 98://蓝牙模式
			if((Fore==0)&&(Back==0))
				Target_Speed=0;//未接受到前进后退指令-->速度清零,稳在原地
			if(Fore==1)
				Target_Speed--;//前进1标志位拉高-->需要前进
			if(Back==1)
				Target_Speed++;//
			/*左右*/
			if((Left==0)&&(Right==0))
				Turn_Speed=0;
			if(Left==1)
				Turn_Speed-=30;	//左转
			if(Right==1)
				Turn_Speed+=30;	//右转
			break;
			
		case 99://循迹模式
			Tracking();
			switch(TkSensor)
			{
				case 15:
					Target_Speed=0;
					Turn_Speed=0;
					break;
				
				case 9:
					Target_Speed--;
					Turn_Speed=0;
					break;
				
				case 2://向右转
					Target_Speed--;
					Turn_Speed=15;
					break;
				
				case 4://向左转
					Target_Speed--;
					Turn_Speed=-15;
					break;
				
				case 8:
					Target_Speed=-10;
					Turn_Speed=-80;
					break;
				
				case 1:
					Target_Speed=-10;
					Turn_Speed=80;
					break;
			}
			break;
			
		case 100://PS2手柄遥控
			if(PS2_Plugin)
			{
				LY=PS2_LY-128; //获取偏差
				RX=PS2_RX-128; //获取偏差
				if(LY>-Yuzhi&&LY<Yuzhi)
					LY=0; //设置小角度的死区
				if(RX>-Yuzhi&&RX<Yuzhi)
					RX=0; //设置小角度的死区
				if(Target_Speed>-LY/RATE_VEL) 
					Target_Speed--;
				else if(Target_Speed<-LY/RATE_VEL) 
					Target_Speed++;
				Turn_Speed=RX/RATE_TURN;
			}
			else
			{
				Target_Speed=0,Turn_Speed=0;
			}
		break;
	}
}

 2、编码电机编码值采集

#include "encoder.h"


/**************************************************************************
函数功能:把TIM2初始化为编码器接口模式
入口参数:无
返回  值:无
**************************************************************************/
void Encoder_Init_TIM2(void)
{
	TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;  
  TIM_ICInitTypeDef TIM_ICInitStructure;  
  GPIO_InitTypeDef GPIO_InitStructure;
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM2, ENABLE);//使能定时器4的时钟
  RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//使能PB端口时钟
	
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0|GPIO_Pin_1;	//端口配置
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; //浮空输入
  GPIO_Init(GPIOA, &GPIO_InitStructure);					      //根据设定参数初始化GPIOB
  
  TIM_TimeBaseStructInit(&TIM_TimeBaseStructure);
  TIM_TimeBaseStructure.TIM_Prescaler = 0x0; // 预分频器 
  TIM_TimeBaseStructure.TIM_Period = ENCODER_TIM_PERIOD; //设定计数器自动重装值
  TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV1;//选择时钟分频:不分频
  TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;TIM向上计数  
  TIM_TimeBaseInit(TIM2, &TIM_TimeBaseStructure);
  TIM_EncoderInterfaceConfig(TIM2, TIM_EncoderMode_TI12, TIM_ICPolarity_Rising, TIM_ICPolarity_Rising);//使用编码器模式3
  TIM_ICStructInit(&TIM_ICInitStructure);
  TIM_ICInitStructure.TIM_ICFilter = 10;
  TIM_ICInit(TIM2, &TIM_ICInitStructure);
  TIM_ClearFlag(TIM2, TIM_FLAG_Update);//清除TIM的更新标志位
  TIM_ITConfig(TIM2, TIM_IT_Update, ENABLE);
  //Reset counter
  TIM_SetCounter(TIM2,0);
  TIM_Cmd(TIM2, ENABLE); 
}
/**************************************************************************
函数功能:把TIM3初始化为编码器接口模式
入口参数:无
返回  值:无
**************************************************************************/
void Encoder_Init_TIM3(void)
{
	TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;  
  TIM_ICInitTypeDef TIM_ICInitStructure;  
  GPIO_InitTypeDef GPIO_InitStructure;
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3, ENABLE);//使能定时器4的时钟
  RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);//使能PB端口时钟
	
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6|GPIO_Pin_7;	//端口配置
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; //浮空输入
  GPIO_Init(GPIOA, &GPIO_InitStructure);					      //根据设定参数初始化GPIOB
  
  TIM_TimeBaseStructInit(&TIM_TimeBaseStructure);
  TIM_TimeBaseStructure.TIM_Prescaler = 0x0; // 预分频器 
  TIM_TimeBaseStructure.TIM_Period = ENCODER_TIM_PERIOD; //设定计数器自动重装值
  TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV1;//选择时钟分频:不分频
  TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;TIM向上计数  
  TIM_TimeBaseInit(TIM3, &TIM_TimeBaseStructure); 
  TIM_EncoderInterfaceConfig(TIM3, TIM_EncoderMode_TI12,TIM_ICPolarity_Rising, TIM_ICPolarity_Rising);//使用编码器模式3
  TIM_ICStructInit(&TIM_ICInitStructure);
  TIM_ICInitStructure.TIM_ICFilter = 10;
  TIM_ICInit(TIM3, &TIM_ICInitStructure);
  TIM_ClearFlag(TIM3, TIM_FLAG_Update);//清除TIM的更新标志位
  TIM_ITConfig(TIM3, TIM_IT_Update, ENABLE);
  //Reset counter
  TIM_SetCounter(TIM3,0);
  TIM_Cmd(TIM3, ENABLE); 
}

/**************************************************************************
函数功能:单位时间读取编码器计数
入口参数:定时器
返回  值:速度值
**************************************************************************/
int Read_Encoder(u8 TIMX)
{
    int Encoder_TIM;    
   switch(TIMX)
	 {
	   case 2:  
		 Encoder_TIM= (short)TIM2 -> CNT; 
		 TIM2 -> CNT=0;
		 break;
	   case 3:  
		 Encoder_TIM= (short)TIM3 -> CNT;  TIM3 -> CNT=0;
	     break;	
		 default: Encoder_TIM=0;
	 }
		return Encoder_TIM;
}


3、PWM配置

#include "pwm.h"



//PWM输出初始化
//arr:自动重装值
//psc:时钟预分频数
//TIM1_PWM_Init(7199,0);//PWM频率=72000/(7199+1)=10Khz

void TIM1_PWM_Init(u16 arr,u16 psc)
{  
	GPIO_InitTypeDef GPIO_InitStructure;
	TIM_TimeBaseInitTypeDef  TIM_TimeBaseStructure;
	TIM_OCInitTypeDef  TIM_OCInitStructure;
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1, ENABLE);// 
 	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA , ENABLE);  //使能GPIO外设时钟使能
   //设置该引脚为复用输出功能,输出TIM1 CH1 CH4的PWM脉冲波形
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8|GPIO_Pin_11; //TIM_CH1 //TIM_CH4
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;  //复用推挽输出
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
	GPIO_Init(GPIOA, &GPIO_InitStructure);
	
	TIM_TimeBaseStructure.TIM_Period = arr; //设置在下一个更新事件装入活动的自动重装载寄存器周期的值	 
	TIM_TimeBaseStructure.TIM_Prescaler =psc; //设置用来作为TIMx时钟频率除数的预分频值  不分频
	TIM_TimeBaseStructure.TIM_ClockDivision = 0; //设置时钟分割:TDTS = Tck_tim
	TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up;  //TIM向上计数模式
	TIM_TimeBaseInit(TIM1, &TIM_TimeBaseStructure); //根据TIM_TimeBaseInitStruct中指定的参数初始化TIMx的时间基数单位

 
	TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_PWM1; //选择定时器模式:TIM脉冲宽度调制模式1
	TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable; //比较输出使能
	TIM_OCInitStructure.TIM_Pulse = 0;                            //设置待装入捕获比较寄存器的脉冲值
	TIM_OCInitStructure.TIM_Pulse = arr >> 1;
	TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_High;     //输出极性:TIM输出比较极性高
	TIM_OC1Init(TIM1, &TIM_OCInitStructure);  //根据TIM_OCInitStruct中指定的参数初始化外设TIMx
	TIM_OC4Init(TIM1, &TIM_OCInitStructure);  //根据TIM_OCInitStruct中指定的参数初始化外设TIMx

    TIM_CtrlPWMOutputs(TIM1,ENABLE);	//MOE 主输出使能	

	TIM_OC1PreloadConfig(TIM1, TIM_OCPreload_Enable);  //CH1预装载使能	 
	TIM_OC4PreloadConfig(TIM1, TIM_OCPreload_Enable);  //CH4预装载使能	 
	
	TIM_ARRPreloadConfig(TIM1, ENABLE); //使能TIMx在ARR上的预装载寄存器
	
	TIM_Cmd(TIM1, ENABLE);  //使能TIM1
}

4、蓝牙控制

#include "usart2.h"

/**************************************************************************
函数功能:串口2初始化
入口参数: bound:波特率
返回  值:无
**************************************************************************/
void uart2_init(u32 bound)
{  	 
	  //GPIO端口设置
  GPIO_InitTypeDef GPIO_InitStructure;
	USART_InitTypeDef USART_InitStructure;
	 
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);	//使能UGPIOB时钟
  RCC_APB1PeriphClockCmd(RCC_APB1Periph_USART2, ENABLE);	//使能USART2时钟
	//USART2_TX  
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2; //PA2
  GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;	//复用推挽输出
  GPIO_Init(GPIOA, &GPIO_InitStructure);
   
  //USART2_RX	  
  GPIO_InitStructure.GPIO_Pin = GPIO_Pin_3;//PA3
  GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;//浮空输入
  GPIO_Init(GPIOA, &GPIO_InitStructure);

   //USART 初始化设置
	USART_InitStructure.USART_BaudRate = bound;//串口波特率
	USART_InitStructure.USART_WordLength = USART_WordLength_8b;//字长为8位数据格式
	USART_InitStructure.USART_StopBits = USART_StopBits_1;//一个停止位
	USART_InitStructure.USART_Parity = USART_Parity_No;//无奇偶校验位
	USART_InitStructure.USART_HardwareFlowControl = USART_HardwareFlowControl_None;//无硬件数据流控制
	USART_InitStructure.USART_Mode = USART_Mode_Rx | USART_Mode_Tx;	//收发模式
  USART_Init(USART2, &USART_InitStructure);     //初始化串口2
  USART_ITConfig(USART2, USART_IT_RXNE, ENABLE);//开启串口接受中断
  USART_Cmd(USART2, ENABLE);                    //使能串口2 
}

/**************************************************************************
函数功能:串口2接收中断
入口参数:无
返回  值:无
**************************************************************************/
u8 Fore,Back,Left,Right;
void USART2_IRQHandler(void)
{
	int Uart_Receive;
	if(USART_GetITStatus(USART2,USART_IT_RXNE)!=RESET)//接收中断标志位拉高
	{
		Uart_Receive=USART_ReceiveData(USART2);//保存接收的数据
		BluetoothCMD(Uart_Receive);								
	}
}

void BluetoothCMD(int Uart_Receive)
{
	switch(Uart_Receive)
		{
			case 90://停止
				Fore=0,Back=0,Left=0,Right=0;
				break;
			case 65://前进
				Fore=1,Back=0,Left=0,Right=0;
				break;
			case 72://左前
				Fore=1,Back=0,Left=1,Right=0;
				break;
			case 66://右前
				Fore=1,Back=0,Left=0,Right=1;
				break;
			case 71://左转
				Fore=0,Back=0,Left=1,Right=0;
				break;
			case 67://右转
				Fore=0,Back=0,Left=0,Right=1;
				break;
			case 69://后退
				Fore=0,Back=1,Left=0,Right=0;
				break;
			case 70://左后,向右旋
				Fore=0,Back=1,Left=0,Right=1;
				break;
			case 68://右后,向左旋
				Fore=0,Back=1,Left=1,Right=0;
				break;
			default://停止
				Fore=0,Back=0,Left=0,Right=0;
				break;
		}
}

void Uart2SendByte(char byte)   //串口发送一个字节
{
		USART_SendData(USART2, byte);        //通过库函数  发送数据
		while( USART_GetFlagStatus(USART2,USART_FLAG_TC)!= SET);  
		//等待发送完成。   检测 USART_FLAG_TC 是否置1;    //见库函数 P359 介绍
}

void Uart2SendBuf(char *buf, u16 len)
{
	u16 i;
	for(i=0; i<len; i++)Uart2SendByte(*buf++);
}
void Uart2SendStr(char *str)
{
	u16 i,len;
	len = strlen(str);
	for(i=0; i<len; i++)Uart2SendByte(*str++);
}

5、中断处理函数

void EXTI9_5_IRQHandler(void) 
{
	static u8 Voltage_Counter=0;
	if(PBin(5)==0)
	{
		EXTI->PR=1<<5;                                          //清除LINE5上的中断标志位   
		mpu_dmp_get_data(&pitch,&roll,&yaw);		            //得到欧拉角(姿态角)的数据
		MPU_Get_Gyroscope(&gyrox,&gyroy,&gyroz);				//得到陀螺仪数据
		Encoder_Left=Read_Encoder(2);                           //读取编码器的值,保证输出极性一致
		Encoder_Right=-Read_Encoder(3);                         //读取编码器的值
		Led_Flash(100);
		
		Voltage_Counter++;
		if(Voltage_Counter==20)                                 //100ms读取一次电压
		{
			Voltage_Counter=0;
			Voltage=Get_battery_volt();		                    //读取电池电压
		}
		
		if(KEY_Press(100))										//长按按键切换模式并触发模式切换初始化
		{
			if(++CTRL_MODE>=101) 
				CTRL_MODE=97;
			Mode_Change=1;
		}
		
		Get_RC();
			
		Target_Speed=Target_Speed>SPEED_Y?SPEED_Y:(Target_Speed<-SPEED_Y?(-SPEED_Y):Target_Speed);//限幅
		Turn_Speed=Turn_Speed>SPEED_Z?SPEED_Z:(Turn_Speed<-SPEED_Z?(-SPEED_Z):Turn_Speed);//限幅( (20*100) * 100)
			
		Balance_Pwm =balance_UP(pitch,Mechanical_angle,gyroy);   							//===直立环PID控制	
		Velocity_Pwm=velocity(Encoder_Left,Encoder_Right,Target_Speed);       //===速度环PID控制	 
		Turn_Pwm =Turn_UP(gyroz,Turn_Speed);        						  //===转向环PID控制
		Moto1=Balance_Pwm-Velocity_Pwm+Turn_Pwm;                              //===计算左轮电机最终PWM
		Moto2=Balance_Pwm-Velocity_Pwm-Turn_Pwm;                              //===计算右轮电机最终PWM
	    Xianfu_Pwm();  														  //===PWM限幅
		Turn_Off(pitch,12);													  //===检查角度以及电压是否正常
		Set_Pwm(Moto1,Moto2);                                                 //===赋值给PWM寄存器  
	}
}

七、PCB板设计

八、代码开源

1、寄存器版本

链接:https://pan.baidu.com/s/1NlMHsgMF2Cu8sz955n27Eg?pwd=zxf1 
提取码:zxf1 
--来自百度网盘超级会员V2的分享

2、HAL库版本

链接:https://pan.baidu.com/s/1rW5M7Dz-TK4IWJxNp57mBw?pwd=zxf1 
提取码:zxf1 
--来自百度网盘超级会员V2的分享

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1185590.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

SPASS图表的制作

基础条形图搭建 打开数据集 变量设置 点击图形->图形构建器&#xff0c;确认 然后设置标题 最终结果展示如图&#xff1a; 自我构建条形图 展示的结果如下&#xff1a;

【Node.js入门】1.2 部署Node.js开发环境

1.2 部署Node.js开发环境 在 Windows 系统上安装 Node.js 两种文件格式的安装包 Windows安装包&#xff08;.msi&#xff09;Windows二进制文件&#xff08;.exe&#xff09;安装包 检查Node.js版本 node --version 在 Linux 系统上安装 Node.js Linux操作系统上安装Nod…

自然语言处理(一):RNN

「循环神经网络」&#xff08;Recurrent Neural Network&#xff0c;RNN&#xff09;是一个非常经典的面向序列的模型&#xff0c;可以对自然语言句子或是其他时序信号进行建模。进一步讲&#xff0c;它只有一个物理RNN单元&#xff0c;但是这个RNN单元可以按照时间步骤进行展开…

发电机综合特性测试仪

发电机综合特性测试仪是可以测量发电机的电压、电流、功率因数、频率等参数&#xff0c;以评估发电机的质量和性能。可以测量发电机的输出电压&#xff0c;以确保其符合规定的标准和要求。测量发电机的输出电流&#xff0c;以确定其负载能力和稳定性。可以测量发电机的功率因数…

我是如何快速入门音视频开发的?

最近有读者留言&#xff0c;说“想转行音视频开发&#xff0c;怎么做”&#xff0c;正巧&#xff0c;前几天我还在知乎上&#xff0c;看到有人在问音视频的学习资料&#xff0c;还是个大一的学生。 想说一句&#xff1a;真有眼光。 如今这个时代&#xff0c;想赚钱&#xff0c…

2010年07月13日 Go生态洞察:通过通信来共享内存

&#x1f337;&#x1f341; 博主猫头虎&#xff08;&#x1f405;&#x1f43e;&#xff09;带您 Go to New World✨&#x1f341; &#x1f984; 博客首页——&#x1f405;&#x1f43e;猫头虎的博客&#x1f390; &#x1f433; 《面试题大全专栏》 &#x1f995; 文章图文…

霍尼韦尔pda手持终端 移动护理终端pda扫描手持机

pda手持终端的特点&#xff1a;具有数据存储及计算能力&#xff0c;能与其它设备进行数据通讯&#xff0c;具有 专业条码扫描&#xff0c;能够快速、准确采集各种一/二维码、破损码、弯折码、屏幕码等光学图形条码。有可拆卸4600mAh聚合物锂电池&#xff0c;续航有保障。 And…

【小白专用】VSCode下载和安装与配置PHP开发环境(详细版) 23.11.08

1. 下载VSCode2. 解决VSCode下载速度特别慢3. 安装VSCode 一、VSCode介绍 VSCode 是一款由微软开发且跨平台的免费源代码编辑器&#xff1b;该软件支持语法高亮、代码自动补全、代码重构、查看定义功能&#xff0c;并且内置了命令行工具和 Git 版本控制系统。 二、官方下载地址…

剑指JUC原理-15.ThreadLocal

&#x1f44f;作者简介&#xff1a;大家好&#xff0c;我是爱吃芝士的土豆倪&#xff0c;24届校招生Java选手&#xff0c;很高兴认识大家&#x1f4d5;系列专栏&#xff1a;Spring源码、JUC源码&#x1f525;如果感觉博主的文章还不错的话&#xff0c;请&#x1f44d;三连支持&…

基于指数分布算法的无人机航迹规划-附代码

基于指数分布算法的无人机航迹规划 文章目录 基于指数分布算法的无人机航迹规划1.指数分布搜索算法2.无人机飞行环境建模3.无人机航迹规划建模4.实验结果4.1地图创建4.2 航迹规划 5.参考文献6.Matlab代码 摘要&#xff1a;本文主要介绍利用指数分布算法来优化无人机航迹规划。 …

传感器融合是什么?及其类型和应用

什么是传感器融合&#xff1f; 目前&#xff0c;几乎各行各业都在使用传感器&#xff1a;在汽车、工厂&#xff0c;甚至我们的智能手机中&#xff0c;都有传感器的身影。虽然单个传感器本身就可以提供有用的数据&#xff0c;但如何能同时从多个传感器的输出中提取信息呢&#…

Bean的四种实例化方式以及BeanFactory和FactoryBean的区别

2023.11.8 Spring为Bean提供了多种实例化方式&#xff0c;通常包括4种方式。 第一种&#xff1a;通过构造方法实例化第二种&#xff1a;通过简单工厂模式实例化第三种&#xff1a;通过factory-bean实例化第四种&#xff1a;通过FactoryBean接口实例化 通过构造方法实例化 创…

MySQL基础操作

注:mysql是大小写不敏感的. 1.数据库基础操作(展示) //1.展示当前数据库 show databases;//2.创建数据库 create database 数据库名;//3.使用数据库 use 数据库名;//4.删除数据库 drop database 数据库名;2.SQL中基本类型 2.1 数值类型(整数和浮点型) 注:decimal和numeric…

cortex-A7核IIC实验--采集温湿度传感器的值

1.IIC总线---同步串行半双工 1&#xff09;一根数据线SDA--PF15&#xff0c;一根时钟线SCL--PF14 2&#xff09;传输速率&#xff1a;低速&#xff1a;100K 中速&#xff1a;400K 全速&#xff1a;3.4M 3&#xff09;外接两个上拉电阻的作用&#xff1a;总线空闲状态时&am…

浅谈顺序表基本操作

&#x1f937;‍♀️&#x1f937;‍♀️&#x1f937;‍♀️ 今天给大家带来的是数据结构——顺序表的实现(增删查改)。 清风的CSDN博客主页 &#x1f389;欢迎&#x1f44d;点赞✍评论❤️收藏 &#x1f61b;&#x1f61b;&#x1f61b;希望我的文章能对你有所帮助&#xff…

刷题笔记day14-二叉树01

前序遍历 前序遍历的方式是&#xff1a;根节点、左节点、右节点。 /*** Definition for a binary tree node.* type TreeNode struct {* Val int* Left *TreeNode* Right *TreeNode* }*/ import "container/list"func preorderTraversal(root *TreeNode…

如何高效处理IT中的突发事件?

在当今快节奏的商业环境中&#xff0c;IT中的重大事件可能会意外发生&#xff0c;从而影响生产力和客户满意度。无论哪个行业&#xff0c;制定恢复计划并建立ITSM事件管理对于在危机时期确保业务连续性至关重要。 让我们来看一下某航空公司的运营&#xff0c;航空公司的运营很…

Alphago Zero的原理及实现:Mastering the game of Go without human knowledge

近年来强化学习算法广泛应用于游戏对抗上&#xff0c;通用的强化学习模型一般包含了Actor模型和Critic模型&#xff0c;其中Actor模型根据状态生成下一步动作&#xff0c;而Critic模型估计状态的价值&#xff0c;这两个模型通过相互迭代训练&#xff08;该过程称为Generalized …

YOLOv8-Seg推理详解及部署实现

目录 前言一、YOLOv8-Seg推理(Python)1. YOLOv8-Seg预测2. YOLOv8-Seg预处理3. YOLOv8-Seg后处理4. YOLOv8-Seg推理 二、YOLOv8-Seg推理(C)1. ONNX导出2. YOLOv8-Seg预处理3. YOLOv8-Seg后处理4. YOLOv8推理 三、YOLOv8-Seg部署1. 源码下载2. 环境配置2.1 配置CMakeLists.txt2.…

JavaScript 作用域链(Scope Chain)是什么?有什么作用?

结论先行&#xff1a; 当在函数内部访问一个变量的时候&#xff0c;&#xff08;JS 引擎&#xff09;程序会先在当前作用域中查找&#xff08;是否存在该变量&#xff09;&#xff0c;如果找到了就直接使用。否则就会向上层作用域逐级查找&#xff0c;直到找到全局作用域为止。…