Verilog功能模块——读写位宽不同的异步FIFO

news2024/10/5 14:02:20

前言

前面的博文已经讲了异步FIFO和同步FIFO,但并没有实现FIFO的读写位宽转换功能,此功能是FIFO的主要功能之一,应用十分广泛,因此,在前面两个模块的基础上,本文使用纯Verilog实现了读写位宽不同的FIFO,并仿真验证了设计的正确性。

相关博文,传送门:

Verilog功能模块——异步FIFO-CSDN博客

Verilog功能模块——同步FIFO-CSDN博客


一. 实现思路

思路:

  1. 根据读写数据位宽的关系,分两种情况,当读位宽>写位宽时组合数据;当读位宽<写位宽时分解数据

  2. 同步FIFO作为缓冲,深度固定为2,异步FIFO作为主体,深度为设定深度

  3. 当读位宽≥写位宽时,读端口逻辑无需关心,同步FIFO时钟与异步FIFO写时钟为同一时钟,只要同步FIFO中有数据就立刻读出,组合之后写入异步FIFP,所以写端口的full信号只会在异步FIFO满之后再写入两个数据才置高,此时不必担心同步FIFO因为深度为2会很快写满

  4. 当读位宽<写位宽时,写端口逻辑无需关心,同步FIFO时钟域异步FIFO读时钟为同一时钟,只要异步FIFO中有数据就分解之后写入到同步FIFO,所以读端口的empty信号只会在异步FIFO空之后置高,此时不必担心同步FIFO因为深度为2会很快读空

注意:

  1. 因为模块主体仍是异步FIFO,所以异步FIFO的“假满”和“假空”问题仍然存在,不影响功能
  2. FIFO实际容量总是比设定容量大,差值为两个小位宽(读/写)数据,这不影响功能

二. 模块功能框图与信号说明

信号说明:

分类信号名称输入/输出说明
参数DIN_WIDTH输入数据位宽
DOUT_WIDTH输出数据位宽
WADDR_WIDTH写地址位宽,FIFO深度=2**WADDR_WIDTH
FWFT_ENFirst word fall-through输出模式使能,高电平有效
MSB_FIFO1(默认值)表示高位先进先出,0表示低位先进先出
例如输入4bit,输出8bit,则首先输入的认为是8bit的高4位,
接着输入的认为是8bit的低4位。
同理,如果输入8bit,输出4bit,则首先输出的会是8bit的高4位,
接着输出的是8bit的低4位。
Vivado FIFO只有高位先进先出
FIFO写端口dininputFIFO数据输入
fulloutputFIFO满信号
wr_eninputFIFO写使能
wr_clkinputFIFO写时钟
wr_rstinputFIFO写复位
almost_fulloutputFIFO快满信号,FIFO剩余容量<=1时置高
FIFO读端口doutoutputFIFO数据输出
emptyoutputFIFO空信号
rd_eninputFIFO读使能
rd_clkinputFIFO读时钟
rd_rstinputFIFO读复位
almost_emptyoutputFIFO快空信号,FIFO内数据量<=1时置高

注意:

  1. 信号的命名与Vivado中的FIFO IP核完全一致

  2. 复位均为高电平复位,与Vivado中的FIFO IP核保持一致

  3. 复位为异步复位,写复位和读复位可以公用一个信号,也可以分开

  4. FIFO深度通过WADDR_WIDTH来设置,所以FIFO的深度必然是2的指数,如8、16、32等

  5. DIN_WIDTH与DOUT_WIDTH的倍数关系必须是2的n次方,如2倍、4倍、8倍,不能是3倍、6倍

  6. WADDR_WIDTH必须≥3,且RADDR_WIDTH = WADDR_WIDTH + log2(DIN_WIDTH / DOUT_WIDTH)也必须≥3

    一种极限情况,DIN_WIDTH = 4,DOUT_WIDTH=16,WADDR_WIDTH=5,RADDR_WIDTH =5+log2(4/16)=3

  7. MSB_FIFO用于设定高位/低位先进先出,它和一般讲的FIFO大端和小端模式不是一个概念

三. 部分代码展示

//~ 如果读位宽大于写位宽,则需要组合数据,组合成一个数据就写入到读取侧FIFO中
if (DOUT_WIDTH >= DIN_WIDTH) begin
  wire clk = wr_clk;
  wire rst = wr_rst;
  wire wdata_almost_full;

  syncFIFO # (
    .DATA_WIDTH (DIN_WIDTH),
    .ADDR_WIDTH (1        ),
    .FWFT_EN    (1   )
  ) syncFIFO_inst (
    .din          (din        ),
    .wr_en        (wr_en      ),
    .full         (full       ),
    .almost_full  (wdata_almost_full),
    .dout         (wdata      ),
    .rd_en        (wdata_rd_en),
    .empty        (wdata_empty),
    .almost_empty (           ),
    .clk          (clk        ),
    .rst          (rst        )
  );

  assign almost_full = (wdata_almost_full && rdata_full) || full;


  localparam RADDR_WIDTH = $clog2(2**WADDR_WIDTH * DIN_WIDTH / DOUT_WIDTH);

  asyncFIFO # (
    .DATA_WIDTH (DOUT_WIDTH ),
    .ADDR_WIDTH (RADDR_WIDTH),
    .FWFT_EN    (FWFT_EN    )
  ) asyncFIFO_inst (
    .din          (rdata       ),
    .wr_en        (rdata_wr_en ),
    .full         (rdata_full  ),
    .almost_full  (            ),
    .wr_clk       (clk         ),
    .wr_rst       (rst         ),
    .dout         (dout        ),
    .rd_en        (rd_en       ),
    .empty        (empty       ),
    .almost_empty (almost_empty),
    .rd_clk       (rd_clk      ),
    .rd_rst       (rd_rst      )
  );

  // 在读取侧FIFO未满,而写入侧FIFO非空时去读取写入侧FIFO
  assign wdata_rd_en = ~rdata_full && ~wdata_empty;

  reg [DOUT_WIDTH-1:0] rdata_r;

  if (MSB_FIFO == 1) begin
    always @(posedge clk or posedge rst) begin
      if (rst)
        rdata_r <= 'd0;
      else if (wdata_rd_en)
        rdata_r <= {rdata_r[DOUT_WIDTH-DIN_WIDTH-1:0], wdata}; // 先进的为高位
      else
        rdata_r <= rdata_r;
    end
    assign rdata = {rdata_r[DOUT_WIDTH-DIN_WIDTH-1:0], wdata}; // 先进的为高位
  end
  else begin
    always @(posedge clk or posedge rst) begin
      if (rst)
        rdata_r <= 'd0;
      else if (wdata_rd_en)
        rdata_r <= {wdata, rdata_r[DOUT_WIDTH-1 : DIN_WIDTH]}; // 先进的为低位
      else
        rdata_r <= rdata_r;
    end
    assign rdata = {wdata, rdata_r[DOUT_WIDTH-1 : DIN_WIDTH]}; // 先进的为低位
  end

  localparam WDATA_RD_EN_CNT_MAX = DOUT_WIDTH / DIN_WIDTH - 1;
  reg [$clog2(WDATA_RD_EN_CNT_MAX+1)-1 : 0] wdata_rd_en_cnt;
  always @(posedge clk or posedge rst) begin
    if (rst)
      wdata_rd_en_cnt <= 'd0;
    else if (wdata_rd_en)
      wdata_rd_en_cnt <= wdata_rd_en_cnt + 1'b1;
    else
      wdata_rd_en_cnt <= wdata_rd_en_cnt;
  end
  
  assign rdata_wr_en = wdata_rd_en && wdata_rd_en_cnt == WDATA_RD_EN_CNT_MAX;
end

四. 功能仿真

仿真与之前异步FIFO的情形基本相同,只是要将读写数据位宽设为不一样。

testbench与之前异步FIFO基本相同,文末也有工程分享,各位同学可自行查看。

写入4bit,写入深度64,读出16bit,FWFT FIFO仿真,波形如下:

可以看到,写入4个4bit数据后,empty在延迟两个读时钟上升沿后拉低,同时数据变为16’h0123,在读出之后,数据变为16‘h4567,读端口逻辑正常。Vivado FIFO IP的empty信号拉低延迟较大,但也保证了在empty拉低时数据是有效的。

从上图可以看到,full信号和almost_full信号能正常置高,然后再读取一个数据后延迟两个写时钟上升沿一起拉低,因为读数据读一次就相当于4个写数据,所以almost_full和full是一起拉低的,这也是正确的。Vivado FIFO IP的实际深度比设定的64要大,这也是此IP的full比模块full更晚置高的原因。

可以看到模块的读数据与Vivado FIFO IP的读数据是一样的,在最后,模块FIFO读出16’h0123后,empty置高,Vivado IP因为深度更大,empty更晚置高,可见读端口的行为是正确的。

因篇幅问题,其它条件下的仿真不再展示,感兴趣的同学可通过更改testbench自行验证。

  1. 更改读写时钟的频率,上述仿真是写时钟频率大于读时钟频率
  2. FWFT_EN改为0,注意同步修改Vivado FIFO的配置
  3. 验证写数据位宽>读数据位宽的情况

五. 工程分享

Verilog功能模块——读写位宽不同的异步FIFO,Vivado 2021.2工程。

欢迎大家关注我的公众号:徐晓康的博客,回复以下四位数字获取。

8303

建议复制过去不会码错字!

或者在我的码云仓库获取,传送门:

徐晓康/Verilog功能模块 - 码云 - 开源中国 (gitee.com)


徐晓康的博客持续分享高质量硬件、FPGA与嵌入式知识,软件,工具等内容,欢迎大家关注。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/1127359.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Plex踩坑——plex web无法找到媒体服务器

现象&#xff1a;之前安装过plex server&#xff0c;然后卸载了。再次重装后&#xff0c;plex web无法找到媒体服务器。 原因&#xff1a;卸载plex server时需要手动将plex的注册表删除&#xff1a;HKEY_CURRENT_USER\Software\Plex, Inc. 原文链接Uninstall Plex Media Serve…

又是一年1024,你还在做程序猿嘛

每年的10月24日&#xff0c;对于广大程序员来说&#xff0c;都有着特殊的意义。这一天是程序员节&#xff0c;一个属于这个独特群体的庆祝活动。在这个特别的日子里&#xff0c;我们不禁要问&#xff1a;又是一年1024&#xff0c;你还在做程序猿嘛&#xff1f; 程序员&#xff…

MATLAB——一维连续小波的分解

%% 学习目标&#xff1a;一维连续小波的分解 %% help wavelet 查询小波工具箱中的所有函数 %% wavedemo 查看案例 clear all; close all; load noissin.mat; %% which noissin.mat figure; subplot(211); plot(noissin); %信号的时域图 10…

【xxl-job】你与xxl-job仅差这个示例

文章目录 摘要介绍底层使用技术和实现原理分布式任务调度任务执行器分片任务任务调度中心 示例代码详解创建一个任务处理类补充配置文件启动xxl-job执行器&#xff0c;并在任务调度中心中添加一个定时任务在任务调度中心中添加一个定时任务&#xff0c;并选择刚刚创建的任务处理…

只要路由器有WPS按钮,佳能打印机连接到Wi-Fi网络的方法就很简单

佳能打印机是很好的设备&#xff0c;可以让你从智能手机、电脑或平板电脑打印照片。它们还提供其他功能&#xff0c;如扫描文档和复制图像。 最新的型号还允许你连接到Wi-Fi&#xff0c;因此你不需要使用电线将设备连接到打印机。 Wi-Fi是通过本地网络传输数据的标准方式。它…

rstudio server 服务器卡死了怎么办

#rstudio 卡死了怎么办 cd ~/.local/share/ ls rm -fr rstudio.old mv ~/.rstudio ~/.rstudio.oldcd ~/.config/ rm -fr .rstudio.old mv ~/.config/rstudio/ ~/.config/rstudio.oldps -ef|grep t040413 |grep rsession |awk {print $2}| xargs kill -9

itbuilder软件在线设计数据库模型,AI与数据库擦出的火花

今天要介绍一款强大的软件&#xff0c;它就是itBuilder软件&#xff0c;一款在线设计数据库模型软件&#xff0c;借助人工智能提高效率&#xff0c;可以生成CRUD代码并推送至开发工具中&#xff1b;它涵盖了几乎所有语言&#xff0c;如Java、Python、JavaScript等&#xff0c;并…

基于Python开源爬虫框架Scrapy租房信息爬取与数据展示工具

获取代码&#xff1a; 知识付费时代&#xff0c;低价有偿获取代码&#xff0c;请理解&#xff01; (1) 下载链接: 后发 (2) 添加博主微信获取&#xff08;有偿&#xff09;,备注来源: mryang511688 (3) 快速扫码咨询&#xff1a; 项目描述 技术&#xff1a;Python、Scrapy、Dj…

红海云签约深圳天使母基金,数智引领金融行业人力资源数字化转型

深圳市天使投资引导基金管理有限公司&#xff08;以下简称“深圳天使母基金”&#xff09;是深圳市人民政府投资发起设立的战略性、政策性基金&#xff0c;目前规模100亿元&#xff0c;是国内规模最大的天使投资类政府引导基金&#xff0c;致力于成为全球领先的天使母基金。 近…

youyeetoo R1卡片电脑(rk3588s)

简介&#xff1a; youyeetoo R1 是风火轮科技专为AIOT市场设计的嵌入式主板(SBC)&#xff0c;体积小但功能强大&#xff0c;搭载瑞芯微旗舰级RK3588s 八核64位处理器&#xff0c;8nm 制程&#xff0c;主频高达2.4GHz&#xff0c;集成ARM Mali-G610 MP4 GPU&#xff0c;内置6 To…

【原创】解决Kotlin无法使用@Slf4j注解的问题

前言 主要还是辟谣之前的网上的用法&#xff0c;当然也会给出最终的使用方法。这可是Kotlin&#xff0c;关Slf4j何事&#xff01;&#xff1f; 辟谣内容&#xff1a;创建注解来解决这个问题 例如&#xff1a; Target(AnnotationTarget.CLASS) Retention(AnnotationRetentio…

CSS基础入门02

目录 1.复合选择器 1.1后代选择器 1.2子选择器 1.3并集选择器 1.4伪类选择器 2.字体属性 2.1设置字体 2.2大小 2.3粗细 2.4文字样式 3.文本属性 3.1文本颜色 3.2设置文本颜色 3.3文本对齐 3.4文本装饰 3.5文本缩进 3.6行高 4.背景属性 4.1背景颜色 4.2背景图…

掌握TikTok时代:MCN的自媒体革命

随着数字时代的到来&#xff0c;媒体和内容创作的格局发生了翻天覆地的变化。社交媒体平台如今是塑造品牌形象、建立个人品牌以及传播信息的关键场所。 在这一领域&#xff0c;TikTok的崛起无疑引领了自媒体革命的浪潮。而多频道网络&#xff08;MCN&#xff09;也发挥着越来越…

IntelliJ IDEA 2023.2正式发布,新UI和Profiler转正

你好&#xff0c;我是YourBatman&#xff1a;做爱做之事❣交配交之人。 &#x1f4da;前言 北京时间2023年7月26日&#xff0c;IntelliJ IDEA 2023.2正式发布。老规矩&#xff0c;吃肉之前&#xff0c;可以先把这几碗汤干了&#xff0c;更有助于消化&#xff08;每篇都很顶哦…

ubuntu双系统安装以及启动时卡死解决办法

目录 一.简介 二.安装 如何安装Ubuntu20.04(详细图文教程-CSDN博客 Ubuntu22.04&#xff08;非虚拟机&#xff09;安装教程&#xff08;2023最新最详细&#xff09;-CSDN博客 三.ubuntu双系统启动时卡死解决办法&#xff08;在ubuntu16.04和18.04测试无误&#xff09; 问题…

程序员节“致敬经典”| Springer Nature高影响力图书合集:专业与应用计算、计算机科学、智能技术与机器人学

​ 每年的10月24日是“程序员节”&#xff0c;节日设立的初衷是为感谢程序员为世界变革带来的无尽可能性和创造力。每位程序员像是一个1024&#xff0c;以最低调但核心的功能模块筑起科技世界。 值此之际Springer Nature致敬经典&#xff0c;特别精选专业与应用计算、计算机科学…

CSS 的盒子Day03(2)

在Web 开发中&#xff0c; CSS 盒子模型是指如何在浏览器引擎中对 HTML 元素进行建模和如何从CSS属性导出 HTML 元素的尺寸。 [3]盒模型的指导方针由 Web 标准万维网联盟 (W3C)特别是 CSS 工作组描述。在 20 世纪 90 年代末和 2000 年代初的多数时间里&#xff0c;主流浏览器中…

如何部署和配置IPv6

环境&#xff1a; IPv6 问题描述&#xff1a; 如何部署和配置IPv6 解决方案&#xff1a; 要了解 IPv6&#xff0c;首先需要了解 IPv4&#xff0c;因为 IPv6 是 IPv4 的升级版本。IPv4 是互联网上最常见的 IP 地址协议&#xff0c;它使用 32 位地址&#xff0c;可以表示大约…

[yolo系列:YOLOV7改进-添加CoordConv,SAConv.]

文章目录 概要CoordConvSAConv 概要 CoordConv&#xff08;Coordinate Convolution&#xff09;和SAConv&#xff08;Spatial Attention Convolution&#xff09;是两种用于神经网络中的特殊卷积操作&#xff0c;用于处理图像数据或其他多维数据。以下是它们的简要介绍&#x…

【小余送书活动第四期】《Kali Linux高级渗透测试》,不可多的的网安书籍哦!网络安全的朋友抓紧参与活动领书咯!

目录 1.背景介绍 2.读者对象 3.随书资源 4.本书目录 5.本书概览 6.活动参与方式 1.背景介绍 对于企业网络安全建设工作的质量保障&#xff0c;业界普遍遵循PDCA&#xff08;计划&#xff08;Plan&#xff09;、实施&#xff08;Do&#xff09;、检查&#xff08;Check&…