STM32入门——SPI通讯

news2024/10/6 16:22:27

江科大STM32学习记录

SPI通信

  • SPI(Serial Peripheral Interface)是由Motorola公司开发的一种通用数据总线
  • 四根通信线:SCK(Serial Clock)、MOSI(Master Output Slave Input)、MISO(Master
    Input Slave Output)、SS(Slave Select)
  • 同步,全双工
  • 支持总线挂载多设备(一主多从)

硬件电路

  • 所有SPI设备的SCK、MOSI、MISO分别连在一起
  • 主机另外引出多条SS控制线,分别接到各从机的SS引脚
  • 在这里插入图片描述
    SCK:完全由主机掌控,主机输出,从机输入
    MOSI:主机输出,从机输入
    MISO:主机输入,从机输出
    SS:从机选择,低电平选择
    *输出引脚配置为推挽输出,输入引脚配置为浮空或上拉输入

移位示意图
在这里插入图片描述
两个移位寄存器同时移动,发送的同时也在接收,8轮移位之后就交换了一个字节

SPI时序基本单元

  • 起始条件:SS从高电平切换到低电平
  • 终止条件:SS从低电平切换到高电平
    在这里插入图片描述
    低电平选择,高电平未选择
void mySIP_Start(void)
{
	mySPI_W_SS(0);
}

void mySIP_Stop(void)
{
	mySPI_W_SS(1);
}


CPOL:时钟极性
CPHA:时钟相位

交换一个字节(模式0)

  • CPOL=0:空闲状态时,SCK为低电平
  • CPHA=0:SCK第一个边沿移入数据,第二个边沿移出数据

在这里插入图片描述

uint8_t mySIP_SwapByte(uint8_t ByteSend)
{
	uint8_t ByteRece = 0x00;
	uint8_t i;
	mySIP_Start();
	for(i=0;i<8;i++){
	mySPI_W_MOSI(ByteSend & (0x80>>i));
	mySPI_W_CLK(1);
	if(mySPI_R_MISO() == 1){
		ByteRece |= (0x80>>i);
		}
	mySPI_W_CLK(0);
	}
	
	return ByteRece;
}


//uint8_t mySIP_SwapByte2(uint8_t ByteSend)
//{
//	uint8_t i;
//	mySIP_Start();
//	for(i=0;i<8;i++){
//	mySPI_W_MOSI(ByteSend & 0x80);
//	 ByteSend <<= 1;
//	mySPI_W_CLK(1);
//	if(mySPI_R_MISO() == 1){
//		 ByteSend |= 0x01;
//		ByteSend<<= 1;
//		}
//	mySPI_W_CLK(0);
//	}
//	
//	return ByteSend;
//}

由于第一个边沿就要移入,所以第一位数据要在第一个边沿之前先移出,这个发生在SS的下降沿时刻
交换一个字节(模式1)

  • CPOL=0:空闲状态时,SCK为低电平
  • CPHA=1:SCK第一个边沿移出数据,第二个边沿移入数据
    在这里插入图片描述
    交换一个字节(模式2)
  • CPOL=1:空闲状态时,SCK为高电平
  • CPHA=0:SCK第一个边沿移入数据,第二个边沿移出数据

在这里插入图片描述
交换一个字节(模式3)

  • CPOL=1:空闲状态时,SCK为高电平
  • CPHA=1:SCK第一个边沿移出数据,第二个边沿移入数据
    在这里插入图片描述

SPI时序

-发送指令

  • 向SS指定的设备,发送指令(0x06)
    在这里插入图片描述
    指定地址写
  • 向SS指定的设备,发送写指令(0x02),
    随后在指定地址(Address[23:0])下,写入指定数据(Data)
    在这里插入图片描述
    指定地址读
  • 向SS指定的设备,发送读指令(0x03),
    随后在指定地址(Address[23:0])下,读取从机数据(Data)
    在这里插入图片描述

W25Q64简介

  • W25Qxx系列是一种低成本、小型化、使用简单的非易失性存储器,常应用于数据存储、字库存储、固件程序存储等场景

  • 存储介质:Nor Flash(闪存)

  • 时钟频率:80MHz / 160MHz (Dual SPI) / 320MHz (Quad SPI)

  • 存储容量(24位地址):

    W25Q40: 4Mbit / 512KByte
    W25Q80: 8Mbit / 1MByte
    W25Q16: 16Mbit / 2MByte
    W25Q32: 32Mbit / 4MByte
    W25Q64: 64Mbit / 8MByte
    W25Q128: 128Mbit / 16MByte
    W25Q256: 256Mbit / 32MByt
    在这里插入图片描述
    硬件电路
    在这里插入图片描述
    W25Q64框图
    在这里插入图片描述

Flash操作注意事项

写入操作时:

  • 写入操作前,必须先进行写使能
  • 每个数据位只能由1改写为0,不能由0改写为1
  • 写入数据前必须先擦除,擦除后,所有数据位变为1
  • 擦除必须按最小擦除单元进行,一般擦除一个扇区(4KB,4096字节)
  • 连续写入多字节时,最多写入一页(256字节)的数据,超过页尾位置的数据,会回到页首覆盖写入
  • 写入操作结束后,芯片进入忙状态,不响应新的读写操作

读取操作时:

  • 直接调用读取时序,无需使能,无需额外操作,没有页的限制,读取操作结束后不会进入忙状态,但不能在忙状态时读取

#include "mySPI.h"
/*
SS		PA4
MOSI	PA7
MISO	PA6
SCK		PA5
*/

void mySPI_W_SS(uint8_t BitVal)
{
	GPIO_WriteBit(GPIOA,GPIO_Pin_4,(BitAction)BitVal);
	
}

void mySPI_W_MOSI(uint8_t BitVal)
{
	GPIO_WriteBit(GPIOA,GPIO_Pin_7,(BitAction)BitVal);
	
}

void mySPI_W_CLK(uint8_t BitVal)
{
	GPIO_WriteBit(GPIOA,GPIO_Pin_5,(BitAction)BitVal);
	
}

uint8_t mySPI_R_MISO(void)
{
	return GPIO_ReadInputDataBit(GPIOA,GPIO_Pin_4);
	
}

void mySPI_Init(void)
{
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
	
	GPIO_InitTypeDef GPIO_InitStructure;
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;//推挽输出
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4|GPIO_Pin_5|GPIO_Pin_7;
	GPIO_InitStructure.GPIO_Speed  =  GPIO_Speed_50MHz;
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;//
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6;
	GPIO_InitStructure.GPIO_Speed  =  GPIO_Speed_50MHz;
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	mySPI_W_SS(1);
	mySPI_W_CLK(0);
	
}

void mySIP_Start(void)
{
	mySPI_W_SS(0);
}

void mySIP_Stop(void)
{
	mySPI_W_SS(1);
}

uint8_t mySIP_SwapByte(uint8_t ByteSend)
{
	uint8_t ByteRece = 0x00;
	uint8_t i;
	mySIP_Start();
	for(i=0;i<8;i++){
	mySPI_W_MOSI(ByteSend & (0x80>>i));
	mySPI_W_CLK(1);
	if(mySPI_R_MISO() == 1){
		ByteRece |= (0x80>>i);
		}
	mySPI_W_CLK(0);
	}
	
	return ByteRece;
}


//uint8_t mySIP_SwapByte2(uint8_t ByteSend)
//{
//	uint8_t i;
//	mySIP_Start();
//	for(i=0;i<8;i++){
//	mySPI_W_MOSI(ByteSend & 0x80);
//	 ByteSend <<= 1;
//	mySPI_W_CLK(1);
//	if(mySPI_R_MISO() == 1){
//		 ByteSend |= 0x01;
//		ByteSend<<= 1;
//		}
//	mySPI_W_CLK(0);
//	}
//	
//	return ByteSend;
//}


#include "W25Q64.h"
#include "mySPI.h"

void W25Q64_Init(void)
{
	mySPI_Init();
}

void W25Q64_ReadID(uint8_t *MID,uint16_t *DID)
{
	mySIP_Start();
	mySIP_SwapByte(0x9F);
	*MID = mySIP_SwapByte(0xFF);//把有意义的数据置换过来
	*DID = mySIP_SwapByte(0xFF);//接收高八位数据
	*DID <<= 8;//左移八位
	*DID = mySIP_SwapByte(0xFF);//接收低八位数据
}

void W25Q64_WriteEnable(void)
{
	mySIP_Start();
	mySIP_SwapByte(W25Q64_WRITE_ENABLE);
	mySIP_Stop();
	
}

void W25Q64_WaitBusy(void)
{
	uint32_t TimeOut;
	mySIP_Start();
	mySIP_SwapByte(W25Q64_READ_STATUS_REGISTER_1);
	TimeOut = 10000;
	while((mySIP_SwapByte(W25Q64_DUMMY_BYTE) & 0x01) == 0x01){
		TimeOut -- ;
		if(TimeOut == 0){
			break;
		}
	}
	mySIP_Stop();
	
}


void W25Q64_PageProgram(uint32_t Address,uint8_t *DataArray,uint16_t Count)
{
	uint8_t i;
	W25Q64_WriteEnable();
	mySIP_Start();
	mySIP_SwapByte(W25Q64_PAGE_PROGRAM);
	mySIP_SwapByte(Address>>16);
	mySIP_SwapByte(Address>>8);
	mySIP_SwapByte(Address);
	
	for(i=0;i<8;i++){
		mySIP_SwapByte(DataArray[i]);
	}
	mySIP_Stop();
	W25Q64_WaitBusy();
}


void W25Q64_SectorErase(uint32_t Address)
{
	W25Q64_WriteEnable();
	
	mySIP_Start();
	mySIP_SwapByte(W25Q64_SECTOR_ERASE_4KB);
	mySIP_SwapByte(Address>>16);
	mySIP_SwapByte(Address>>8);
	mySIP_SwapByte(Address);
	mySIP_Stop();
	W25Q64_WaitBusy();
}


void W25Q64_ReadData(uint32_t Address,uint8_t *DataArray,uint16_t Count)
{
	uint8_t i;
	mySIP_Start();
	mySIP_SwapByte(W25Q64_READ_DATA);
	mySIP_SwapByte(Address>>16);
	mySIP_SwapByte(Address>>8);
	mySIP_SwapByte(Address);
	for(i=0;i<8;i++){
		DataArray[i] = mySIP_SwapByte(W25Q64_DUMMY_BYTE);
	}
	
	mySIP_Stop();
	
}
#ifndef __W25Q64_INS_H
#define __W25Q64_INS_H

#define W25Q64_WRITE_ENABLE							0x06
#define W25Q64_WRITE_DISABLE						0x04
#define W25Q64_READ_STATUS_REGISTER_1				0x05
#define W25Q64_READ_STATUS_REGISTER_2				0x35
#define W25Q64_WRITE_STATUS_REGISTER				0x01
#define W25Q64_PAGE_PROGRAM							0x02
#define W25Q64_QUAD_PAGE_PROGRAM					0x32
#define W25Q64_BLOCK_ERASE_64KB						0xD8
#define W25Q64_BLOCK_ERASE_32KB						0x52
#define W25Q64_SECTOR_ERASE_4KB						0x20
#define W25Q64_CHIP_ERASE							0xC7
#define W25Q64_ERASE_SUSPEND						0x75
#define W25Q64_ERASE_RESUME							0x7A
#define W25Q64_POWER_DOWN							0xB9
#define W25Q64_HIGH_PERFORMANCE_MODE				0xA3
#define W25Q64_CONTINUOUS_READ_MODE_RESET			0xFF
#define W25Q64_RELEASE_POWER_DOWN_HPM_DEVICE_ID		0xAB
#define W25Q64_MANUFACTURER_DEVICE_ID				0x90
#define W25Q64_READ_UNIQUE_ID						0x4B
#define W25Q64_JEDEC_ID								0x9F
#define W25Q64_READ_DATA							0x03
#define W25Q64_FAST_READ							0x0B
#define W25Q64_FAST_READ_DUAL_OUTPUT				0x3B
#define W25Q64_FAST_READ_DUAL_IO					0xBB
#define W25Q64_FAST_READ_QUAD_OUTPUT				0x6B
#define W25Q64_FAST_READ_QUAD_IO					0xEB
#define W25Q64_OCTAL_WORD_READ_QUAD_IO				0xE3

#define W25Q64_DUMMY_BYTE							0xFF

#endif

硬件SPI

SPI外设简介

  • STM32内部集成了硬件SPI收发电路,可以由硬件自动执行时钟生成、数据收发等功能,减轻CPU的负担
  • 可配置8位/16位数据帧、高位先行/低位先行
  • 时钟频率: fPCLK / (2, 4, 8, 16, 32, 64, 128, 256)
  • 支持多主机模型、主或从操作
  • 可精简为半双工/单工通信
  • 支持DMA
  • 兼容I2S协议
  • STM32F103C8T6 硬件SPI资源:SPI1、SPI2

SPI框图
在这里插入图片描述
SPI基本结构
在这里插入图片描述
主模式全双工连续传输
在这里插入图片描述
非连续传输
在这里插入图片描述

#include "mySPI.h"
/*
SS		PA4
MOSI	PA7
MISO	PA6
SCK		PA5
*/

void mySPI_W_SS(uint8_t BitVal)
{
	GPIO_WriteBit(GPIOA,GPIO_Pin_4,(BitAction)BitVal);
	
}



void mySPI_Init(void)
{
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_SPI1,ENABLE);
	
	GPIO_InitTypeDef GPIO_InitStructure;
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;//推挽输出
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4;
	GPIO_InitStructure.GPIO_Speed  =  GPIO_Speed_50MHz;
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;//推挽输出
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5|GPIO_Pin_7;
	GPIO_InitStructure.GPIO_Speed  =  GPIO_Speed_50MHz;
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;//
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6;
	GPIO_InitStructure.GPIO_Speed  =  GPIO_Speed_50MHz;
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	SPI_InitTypeDef SPI_InitStructure;
	SPI_InitStructure.SPI_Mode = SPI_Mode_Master;
	SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_128;
	SPI_InitStructure.SPI_CPHA = SPI_CPHA_1Edge;
	SPI_InitStructure.SPI_CPOL = SPI_CPOL_Low;
	SPI_InitStructure.SPI_CRCPolynomial = 7;
	SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;
	SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
	SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;
	SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;
	SPI_Init(SPI1,&SPI_InitStructure);

	SPI_Cmd(SPI1,ENABLE);
	mySPI_W_SS(1);
	
}

void mySIP_Start(void)
{
	mySPI_W_SS(0);
}

void mySIP_Stop(void)
{
	mySPI_W_SS(1);
}

uint8_t mySIP_SwapByte(uint8_t ByteSend)
{
	
	while(SPI_I2S_GetFlagStatus(SPI1,SPI_I2S_FLAG_TXE) != SET);
	SPI_I2S_SendData(SPI1,ByteSend);
	while(SPI_I2S_GetFlagStatus(SPI1,SPI_I2S_FLAG_RXNE) != SET);
	return SPI_I2S_ReceiveData(SPI1); 
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/910410.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

log4j2配置文件的加载顺序

文章目录 如果同时存在 log4j2-test.xml 和 log4j2.xml 文件 那么默认先加载 log4j2-test.xml&#xff0c;而不是log4j2.xml 官方文档介绍&#xff1a;https://logging.apache.org/log4j/2.x/manual/configuration.html 以下内容来自官方文档翻译&#xff1a; Log4j 2 的配置…

淘宝商品详情采集接口item_get-获得淘宝商品详情(可高并发线程)

获得淘宝商品详情页面数据采集如下&#xff1a; taobao.item_get 公共参数 名称类型必须描述keyString是调用key&#xff08;必须以GET方式拼接在URL中&#xff09;注册key账号接入secretString是调用密钥api_nameString是API接口名称&#xff08;包括在请求地址中&#xff0…

动手学深度学习—深度卷积神经网络AlexNet(代码详解)

AlexNet 1. 学习表征1.1 缺少的成分&#xff1a;数据1.2 缺少的成分&#xff1a;硬件 2. AlexNet2.1 模型设计2.2 激活函数2.3 容量控制和预处理 3. 读取数据集4. 训练AlexNet ImageNet classification with deep convolutional neural networks 原文链接&#xff1a;https://d…

尚品汇项目(Day1)

项目结构介绍 vue-cli 脚手架初始化项目 node webpack 淘宝镜像 node_modules文件夹&#xff1a;项目依赖文件夹 public文件夹&#xff1a;一般放置静态资源&#xff08;图片&#xff09;&#xff0c;需要注意&#xff1a;放在public文件夹中的静态资源&#xff0c;webpac…

套接字属性设置

基本概念 设置套接字的选项对套接字进行控制除了设置选项外&#xff0c;还可以获取选项选项的概念相当于属性&#xff0c;所以套接字选项也可说是套接字属性有些选项&#xff08;属性&#xff09;只可获取&#xff0c;不可设置有些选项既可设置也可获取 选项级别 SOL_SOCKET的…

功能性需求与非功能性需求的区别

如果你曾经负责过软件项目开展的全过程&#xff0c;就会知道需求定义在项目后期的重要性。清晰、明确的需求定义不仅有助于有效地管理客户期望&#xff0c;也有助于指导项目的顺利开展。 在项目前期阶段&#xff0c;如果需求定义不清晰&#xff0c;就会导致项目范围和成果定义…

Prompt-“设计提示模板:用更少数据实现预训练模型的卓越表现,助力Few-Shot和Zero-Shot任务”

Prompt任务&#xff08;Prompt Tasks&#xff09; 通过设计提示&#xff08;prompt&#xff09;模板&#xff0c;实现使用更少量的数据在预训练模型&#xff08;Pretrained Model&#xff09;上得到更好的效果&#xff0c;多用于&#xff1a;Few-Shot&#xff0c;Zero-Shot 等…

java.net.UnknownHostException 解决方法

原文链接&#xff1a;https://blog.csdn.net/qq_39390545/article/details/108755289 以下为复盘这里笔记 一般原因 1.服务器没网&#xff0c;调不到公网域名&#xff0c;无法解析出IP&#xff0c;从而无法识别host&#xff0c;导致无法连接&#xff1b; 2.网络端口映射做了…

最长有效括号——力扣32

int longestValidParentheses(string s){int res=0, n=s.size();int left=0

并查集 rank 的优化(Java 实例代码)

目录 并查集 rank 的优化 Java 实例代码 UnionFind3.java 文件代码&#xff1a; 并查集 rank 的优化 上一小节介绍了并查集基于 size 的优化&#xff0c;但是某些场景下&#xff0c;也会存在某些问题&#xff0c;如下图所示&#xff0c;操作 union(4,2)。 根据上一小节&…

三层架构实验

6 / 100 撤消 重做历史标题 加粗 颜色 背景其他列表对齐 水平线 块引用代码 资源绑定 表格 图像 视频 公式 链接 模版 目录 投票 宽屏 使用 MD 编辑器 文章标签 经验分享 添加文章标签 添加封面 标签图热门VIP 本地上传 思路&#xff1a;先配三层交换机&#xff0c;绑通道…

Pyqt5-开源工具分解功能(配置文件+快捷写入)

开源第五篇,配置文件及参数配置,先来看个图: 上述是自动化电池监测的简图。会根据json文件中的数据从而自动写入数据。 如何自动写入数据 从GIF中可以看到,选中的输入的标签都是QLineEdit,而QLineEdit的写入文本方法是.setText(str),注意这里是写入的文本是text,字符串。…

smiley-http-proxy-servlet 实现springboot 反向代理,项目鉴权,安全的引入第三方项目服务

背景&#xff1a; 项目初期 和硬件集成&#xff0c;实现了些功能服务&#xff0c;由于是局域网环境&#xff0c;安全问题当时都可以最小化无视。随着对接的服务越来越多&#xff0c;部分功能上云&#xff0c;此时就需要有一种手段可以控制到其他项目/接口的访问权限。 无疑 反向…

亿赛通电子文档安全管理系统 RCE漏洞复现(QVD-2023-19262)

0x01 产品简介 亿赛通电子文档安全管理系统&#xff08;简称&#xff1a;CDG&#xff09;是一款电子文档安全加密软件&#xff0c;该系统利用驱动层透明加密技术&#xff0c;通过对电子文档的加密保护&#xff0c;防止内部员工泄密和外部人员非法窃取企业核心重要数据资产&…

wifi-RTL8723-RK3568

文章目录 前言一、RTL8723DU二、原理图三、设备树四、修改drivers/net/wireless/rockchip_wlan目录下文件五、修改RTL8723DU代码工程修改Makefile文件修改驱动入口函数其他说明效果前言 本文主要介绍如何在RK3568平台下,参考官方文档移植RTL8723DU这款wifi模块 提示:以下是本…

【Redis从头学-7】Redis中的Set数据类型实战场景之用户画像去重、共同关注、专属粉丝

&#x1f9d1;‍&#x1f4bb;作者名称&#xff1a;DaenCode &#x1f3a4;作者简介&#xff1a;啥技术都喜欢捣鼓捣鼓&#xff0c;喜欢分享技术、经验、生活。 &#x1f60e;人生感悟&#xff1a;尝尽人生百味&#xff0c;方知世间冷暖。 &#x1f4d6;所属专栏&#xff1a;Re…

ffmpeg合并mp4视频文件

下载ffmpeg Download FFmpeg 2配置环境 右键此电脑-》属性-》高级系统设置 环境变量-》path 解压上面ffmpeg压缩包&#xff0c;找到bin目录&#xff0c;复制完整路径&#xff0c;添加到path环境变量中 测试ffmpeg ffmpeg合并MP4文件 创建一个文本文件&#xff0c;例如inpu…

HarmonyOS学习路之方舟开发框架—学习ArkTS语言(状态管理 五)

管理应用拥有的状态概述 LocalStorage&#xff1a;页面级UI状态存储 LocalStorage是页面级的UI状态存储&#xff0c;通过Entry装饰器接收的参数可以在页面内共享同一个LocalStorage实例。LocalStorage也可以在UIAbility内&#xff0c;页面间共享状态。 本文仅介绍LocalStora…

Python土力学与基础工程计算.PDF-压水试验

Python 求解代码如下&#xff1a; 1. import math 2. 3. # 输入参数 4. L 2.0 # 试验段长度&#xff0c;m 5. Q 120.0 # 第三阶段计算流量&#xff0c;L/min 6. p 1.5 # 第三阶段试验段压力&#xff0c;MPa 7. r0 0.05 # 钻孔半径&#xff0c;m 8. 9. # 计算透…

SpringBoot 模板模式实现优惠券逻辑

一、计算逻辑的类结构图 在这张图里&#xff0c;顶层接口 RuleTemplate 定义了 calculate 方法&#xff0c;抽象模板类 AbstractRuleTemplate 将通用的模板计算逻辑在 calculate 方法中实现&#xff0c;同时它还定义了一个抽象方法 calculateNewPrice 作为子类的扩展点。各个具…