1-搭建一个最简单的验证平台UVM,已用Questasim实现波形!

news2024/10/7 12:24:03

UVM-搭建一个最简单的验证平台,已用Questasim实现波形

      • 1,背景知识
      • 2,".sv"文件搭建的UVM验证平台,包括代码块分享
      • 3,Questasim仿真输出
        • (1)compile all,成功!
        • (2)simulation,仿真波形输出。

1,背景知识

验证是用于找出DUT的bug,这个过程通常是把DUT放入一个验证平台中来实现的。
一个验证平台要实现如下基本功能:
(1)验证平台要模拟DUT的各种真实使用情况,这意味着要给DUT施加各种激励,有正常的激励,也有异常的激励;有这种模式的激励,也有那种模式的激励。激励的功能是由driver来实现的。
(2)验证平台要能够根据DUT的输出来判断DUT的行为是否与预期相符合,完成这个功能的是记分板(scoreboard,也称:checker)。既然是判断,那么牵扯到两个方面:一是判断什么,需要把什么拿来判断,这里很明显是DUT的判断;二是判断的标准是什么。
(3)验证平台要收集DUT的输出并把它们传递给scoreboard,完成这个功能的是monitor。
(4)验证平台要能够给出预期结果。在记分板中提到了判断的标准,判断的标准通常就是预期。假设DUT是一个加法器,那么当它的加数和被加数中分别输入1,即输入1+1时,期待DUT输出2。当DUT在计算1+1的结果时,验证平台也必须相应完成同样的过程,也计算一次1+1。在验证平台中,完成这个过程的是参考模型(reference model)。

本篇章将从一个最简单的验证平台开始,逐步搭建起一个复杂的UVM验证平台。

在这里插入图片描述

2,".sv"文件搭建的UVM验证平台,包括代码块分享

第一块代码,dut.sv
这个DUT功能非常简单,通过rxd接收数据,再通过txd发送出去。其中,rx_dv是接收的数据有效指示,tx_en是发送的数据有效指示。

// UVM实战
// 最简单的验证平台

module dut(clk, rst_n, rxd, rx_dv, txd, tx_en
);
input        clk;
input        rst_n;
input  [7:0] rxd;
input        rx_dv;

output [7:0] txd;
output       tx_en;

reg [7:0] txd;
reg       tx_en;

always@(posedge clk) begin
  if(!rst_n)  begin
    txd   <= 8'b0;
	tx_en <= 1'b0;
  end  
  else begin
    txd   <= rxd;
	tx_en <= rx_dv;
  end
end
endmodule

第二块代码,my_driver.sv

UVM是一个库,在这个库中,几乎所有的东西都是使用类(class)来实现的。
driver,monitor,reference model,scoreboard等组成部分都是类。类是像SystemVerilog这些面向对象编程语言中最伟大的发明之一,是面向对象的精髓。
类有函数(function)、任务(task),通过这些function和task可以完成driver的输出激励功能。类中可以有成员变量,这些成员变量可以控制类的行为,如控制driver的行为等。当要实现一个功能时,首先想到的是从UVM的某个类派生出一个新的类,在这个新的类中实现所期望的功能。
因此,使用UVM的第一条原则是:验证平台中所有的组件应该派生自UVM中的类。

// UVM 验证平台中的 driver应该派生自 uvm_driver,
// 下面是一个简单的 driver 
`ifndef MY_DRIVER_SV
`define MY_DRIVER_SV

`include "uvm_macros.svh"
import uvm_pkg::*;

class my_driver extends uvm_driver;

  function new(string name = "my_driver", uvm_component parent = null);
    super.new(name, parent);
  endfunction
  extern virtual task main_phase(uvm_phase phase);
endclass

task my_driver::main_phase(uvm_phase phase);
  top_tb.rxd   <= 8'b0;
  top_tb.rx_dv <= 1'b0;
  while(!top_tb.rst_n)
    @(posedge top_tb.clk)
	for(int i=0; i<256; i++) begin
	  @(posedge top_tb.clk)
	    top_tb.rxd   <= $urandom_range(0,255);
		top_tb.rx_dv <= 1'b1;
		`uvm_info("my_driver", "data is drived", UVM_LOW);
	end
	  @(posedge top_tb.clk)
	    top_tb.rx_dv <= 1'b0;
endtask

`endif

uvm_pkg的解释:
uvm_pkg是一个开放源代码的解决方案库,用于基于IEEE 1800 SystemVerilog标准实现通用验证方法(Universal Verification Methodology,简称UVM)。UVM是一种常用的硬件验证方法学,用于验证集成电路设计的正确性。

uvm_pkg提供了一组类、函数和宏,用于建模和实现验证环境中常见的验证功能,如生成和管理事务、约束和随机性、组织测试用例、收集和报告结果等。它还定义了一套规范,描述了验证架构的结构和交互方式。

通过使用uvm_pkg,验证工程师可以更高效地编写可重用和可扩展的验证环境,加快验证开发的速度,提高项目的可靠性和生产力。

第三块代码,top_tb.sv

// 对 my_driver 实例化并且最终搭建的验证平台如下:

`timescale 1ns/1ps
`include  "uvm_macros.svh"   // UVM宏
import uvm_pkg::*;  //  通过 import 语句将整个 uvm_pkg导入验证平台。
                    // 只有导入了这个库,编译器在编译my_driver.sv文件时才会认识其中的ruvm_driver等类名。
					
`include "my_driver.sv"      // 对 my_driver.sv 实例化

module top_tb;
reg        clk;
reg        rst_n;
reg  [7:0] rxd;
reg        rx_dv;
wire [7:0] txd;
wire       tx_en;

dut my_dut(
.clk(clk),
.rst_n(rst_n),
.rxd(rxd),
.rx_dv(rx_dv),
.txd(txd),
.tx_en(tx_en)
);

initial begin
  my_driver drv;
  drv = new("drv", null);
  drv.main_phase(null);
  $finish();
end

initial begin
  clk = 0;
  forever begin
    #100 clk = ~clk;
  end
end

initial begin
  rst_n = 1'b0;
  #1000;
  rst_n = 1'b1;
end

endmodule


3,Questasim仿真输出

注明:参考文献:,这里有Questasim仿真时碰到的各种错误解决方案,非常有用!
1,questasim常见问题解决方案

(1)compile all,成功!

在这里插入图片描述

(2)simulation,仿真波形输出。

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/831041.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

kubernetes 集群利用 efk 收集容器日志

文章目录 [toc]前情提要制作 centos 基础镜像准备 efk 二进制文件部署 efk 组件配置 namespace配置 gfs 的 endpoints配置 pv 和 pvc部署 elasticsearchefk-cmefk-svcefk-sts 部署 filebeatfilebeat-cmfilebeat-ds 部署 kibanakibana-cmkibana-svckibana-dp使用 nodeport 访问 …

免费快速下载省市区县行政区的Shp数据

摘要&#xff1a;一般非专业的GIS应用通常会用到省市等行政区区划边界空间数据做分析&#xff0c;本文简单介绍了如何在互联网上下载省&#xff0c;市&#xff0c;区县的shp格式空间边界数据&#xff0c;并介绍了一个好用的在线数据转换工具&#xff0c;并且开源。 一、首先&am…

图卷积网络(GCN)和池化

一、说明 GCN&#xff08;Graph Convolutional Network&#xff09;是一种用于图形数据处理和机器学习的神经网络架构。GCN 可以在图形中捕获节点之间的关系&#xff0c;从而能够更好地处理图形数据。GCN 可以沿着图形上的边缘执行滤波器操作&#xff0c;将每个节点的特征向量进…

OA是什么意思?OA系统是什么

阅读本文您可以了解&#xff1a;1、OA是什么&#xff1b;2、OA系统是什么&#xff1b;3、OA系统有什么功能 一、OA是什么 当提到OA&#xff0c;我们通常指的是"Office Automation"&#xff08;办公自动化&#xff09;。 办公自动化指的是在办公室环境中使用计算机技…

培训系统中的技术创新与发展趋势

随着互联网和信息技术的快速发展&#xff0c;培训系统也进入了一个全新的时代。传统的面对面培训逐渐被在线培训所取代&#xff0c;培训系统中的技术创新和发展成为了推动整个行业发展的关键因素。 1. VR和AR技术的应用 虚拟现实&#xff08;VR&#xff09;和增强现实&#x…

并查集和哈希表的实现

并查集和哈希表的实现 文章目录 并查集和哈希表的实现1.并查集的功能2.并查集的基本原理3.并查集的实现 哈希表&#xff08;hash&#xff09;1.拉链法2.开放寻址法方法流程代码演示 3,字符串哈希 1.并查集的功能 1.将两个集合进行合并 2.询问两个元素是否在一个集合里面 2.并…

js中exec与match的区别

const regex1 RegExp(f(o.?),g); const str1 table foatball, fobsball; let array1; let array2; array1 regex1.exec(str1) array2 str1.match(regex1)console.log(array1, array1); console.log(array2, array2); //没有g的情况下,都是找到第一个匹配,并且如果有分组,…

SPSS数据分析--假设检验的两种原假设取舍决定方式

假设检验的两种原假设取舍决定方式 在t检验&#xff0c;相关分析&#xff0c;回归分析&#xff0c;方差分析&#xff0c;卡方检验等等分析方法中&#xff0c;都需要用到假设检验。假设检验的步骤一般如下&#xff1a; 提出假设&#xff1a;H0 vs H1 ;假设原假设H0 成立的情况…

Java课题笔记~ 动态SQL详解

一、动态 sql 是什么&#xff1f; 1、动态 SQL 是 MyBatis 的强大特性之一。在 JDBC 或其它类似的框架中&#xff0c;开发人员通常需要手动拼接 SQL 语句。根据不同的条件拼接 SQL 语句是一件极其痛苦的工作。 例如&#xff0c;拼接时要确保添加了必要的空格&#xff0c;还要…

AWS多账户单点登录 IAM Identity Center(AWS SSO)

需求场景 多个aws账户&#xff0c;登陆麻烦且不安全&#xff0c;SSO单点功能并且外部身份提供者 — 如果您要管理外部身份提供者&#xff08;IdP&#xff09;&#xff08;例如 Okta 或 Active Directory&#xff09;中的用户。 官方文档&#xff1a;https://docs.aws.amazon.c…

Maven引入本地jar包

maven做为一种强大的依赖管理工具&#xff0c;可以帮助我们更方便的管理项目中的依赖&#xff1b;而在使用过程中我们难免会有需要引入本地jar包的需求&#xff0c;这里踩过坑之后我分享俩种引入方式&#xff1b; 1.上传jar到本地maven仓库&#xff0c;再引入 使用此方法后可…

基于Translators的多语言翻译解决方案

当Translators库,一个用Python为个人和学生提供免费、多样、愉快翻译的库。 文章目录 Translators支持的翻译服务安装与入门参数和功能支持的语言调试和运行环境API服务Translators Translators库是一个强大的Python库,旨在为个人和学生提供免费、多样、愉快的翻译体验。它支…

文件传输协议FTP与托管文件传输MFT有什么区别?

传输敏感数据是日常业务中不可或缺的一环。但是&#xff0c;在把敏感数据从A点搬到B点的过程中&#xff0c;保证该敏感数据的安全是组织的重要任务&#xff0c;因此最好选择一种能够确保文件安全的方案。 FTP与MFT有什么不同&#xff1f; FTP&#xff08;文件传输协议&#xf…

考研408 | 【计算机网络】概述

计算机网络体系结构 计算机网络概述&#xff1a;1.概念&#xff0c;组成&#xff0c;功能&#xff0c;分类2.标准化工作及相关组织3.性能指标体系结构&参考模型&#xff1a;1.分层结构2.协议&#xff0c;接口&#xff0c;服务3.ISO/OSI模型4.TCP/IP模型 目录 计算机网络体…

SpringBoot+AOP+Redission实战分布式锁

文章目录 前言一、Redission是什么&#xff1f;二、使用场景三、代码实战1.项目结构2.类图3.maven依赖4.yml5.config6.annotation7.aop8.model9.service 四、单元测试总结 前言 在集群环境下非单体应用存在的问题&#xff1a;JVM锁只能控制本地资源的访问&#xff0c;无法控制…

AMEYA--大唐恩智浦DNB1168助力CTC和CTP新电池技术价值突破

“里程焦虑”是新能源汽车行业中绕不开的话题。从短期来看&#xff0c;电池材料难有突破性的进展&#xff0c;电池能量密度提升受限。那么&#xff0c;对动力电池进行结构优化、为电芯“腾出”更大的空间&#xff0c;就成为提高汽车续航能力的不二选择。 动力电池的瘦身之路 传…

Stable diffusion从入门到进阶教程

全网最全Stable Diffusion教程&#xff0c;耗时三个月制作。 基础教程 Stable Diffusion知识大纲05-26 10:57 Stable Diffusion安装教程06-09 15:58 抖音资料发放处昨天 14:46 比较好用的 AI绘画网站整理05-26 10:24 Stable Diffusion配置要求05-08 18:01 StableDiffusio…

15 springboot项目——thymeleaf语法与关闭模板引擎

15.1 thymeleaf语法 在html文件中&#xff0c;有些是需要使用本地的css样式&#xff0c;使用thymeleaf语法加载&#xff1a; 首先对head标签上面的html标签进行更改&#xff1a; <html lang"en" xmlns:th"http://www.thymeleaf.org"> 其次&#xff…

2024考研408-计算机网络 第三章-数据链路层学习笔记

文章目录 前言一、数据链路层的功能1.1、数据链路层的研究思想1.2、数据链路层基本概念1.3、数据链路层功能概述&#xff08;5个功能&#xff09; 二 、组帧2.1、封装成帧以及发送帧的过程&#xff08;包含名词解释&#xff09;2.2、实现透明传输及四种组帧方法2.2.1、什么是透…

shell 自动创建磁盘分区 PV 、VG、 LV

设计思路&#xff1a; 1、创建磁盘分区变量集合 devName("vdb" "vdc" "vdd" "vde") 2、创建for循环&#xff0c;磁盘分区数量 /dev/vdb0~3&#xff0c;用于在for循环中if判断磁盘分区是否已经存在 if 判断条件 [[ -b "目录名称…