【数电实验】组合逻辑电路

news2024/9/22 11:31:33

实验三 触发器及其应用

一 实验目的

1 了解触发器的触发方式(上升沿触发、下降沿出发)及其触发特点;

2 测试常用触发器的逻辑功能;

3 掌握用触发器设计同步时序逻辑电路的方法。

二 实验内容

1 测试双D触发器74HC74的逻辑功能:

测试双D触发器的输入、输出以及时钟脉冲的关系。注意观察输出是在时钟脉冲的什么时刻(上升沿、下降沿、高电平)发生变化的。

(1)D触发器

D触发器的特性方程为Q*=D。

根据D触发器的方程,可得到其特性表,结果如下表所示。

D

Q

Q*

0

0

0

0

1

0

1

0

1

1

1

1

(2)Multisim电路图

(3)仿真模拟过程

    在软件中连接成以上电路图后,点击运行即可开始仿真。设计电路时,左侧X1显示Q的状态,有输出时显示红色;右侧X2显示Q*的状态,有输出时显示蓝色。首先需要验证SET、RESET与输出结果(Q和Q*)的关系。然后,可以通过控制时钟的开关来观察上升沿(CLK从0变1)和下降沿(CLK从1变0)时电路的输出情况,验证输入、时钟与输出结果(Q和Q*)的关系。下面将依次展示每个步骤过程及电路对应的输出状态。

初始状态:

将SET端从1变0:

将SET端从0变1:

将RESET端从1变0:

将RESET端从0变1:

由上述几个步骤可知,当SET和RESET接在VCC上时,均为无效;当SET和RESET接地时,均为有效。即验证完SET、RESET分别与输出结果(Q和Q*)的关系。因此,接下来可以验证输入、时钟与输出结果(Q和Q*)的关系。

将输入端从0变1,紧接着将时钟端从0变1:

将时钟端从1变0:

再次将时钟端从0变1:

再次将时钟端从1变0:

  由上述几个步骤可知,当CLK输入一个上升沿时,因为输入为1,所以Q输出为有效,Q*输出为无效。且后续不论CLK怎么变化,输出状态仍然保持不变。

将输入端从1变0:

将时钟端从0变1:

  由上述几个步骤可知,当输入端从1变0时,由于CLK没有变化,输出状态仍然保持不变。但是当CLK输入一个上升沿时,因为输出为0,所以Q输出为无效,Q*输出为有效。

(4)实验结论

由仿真模拟过程可知,双D触发器的输出是在时钟脉冲的上升沿时刻发生变化的。

并且,如果多次调试CLK、SET、RESET和输入端,可以得到双D触发器中输出、输出以及时钟脉冲的关系,结果如下表所示。

输入

CLK

SET

RESET

Q

Q*

1

1

1

1

0

1

其他情况

1

1

保持原有状态

保持原有状态

1

其他情况

1

0

0

1

0

1

1

0

1

0

其他情况

1

1

保持原有状态

保持原有状态

0

其他情况

0

1

1

0

2 设计三分频电路:

用双D触发器设计一个同步三分频电路。用示波器观察并记录时钟脉冲CLK和触发器输出Q1、Q2的波形。(按三进制计数器来设计)

(1)设计思路

三分频电路可以通过对待分频时钟上升沿触发计数器进行计数来实现,具体实现方法如下:进行模3计数,在时钟上升沿进行加 1操作,比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转,计数到 2时清零,从头开始计数。这样实现的三分频占空比为1/3。占空比为高电平的时间与周期的比值。

(2)理论电路图和Multisim电路图

理论电路图:

Multisim电路图:

可观察Q2与CLK周期关系的电路:

可观察Q1与CLK周期关系的电路:

可观察Q1与Q2周期关系的电路:

(3)实验结论

  最终设计的三分频电路如上图所示。并且,实验3所观察分析的电路是本实验所设计的电路,因此仿真模拟过程在实验3中详细阐述。

3 观察分析同步时序逻辑电路1:

分析同步时序电路的逻辑功能,用示波器观察并记录CLK、Q1、Q2的波形。

(1)逻辑功能分析

驱动方程:D1=Q1‘Q2’  D2=Q1

特性方程:Q1*=D1  Q2*=D2

状态方程:Q1*=Q1‘Q2’  Q2*=Q1

令输出Y1=Q1,Y2=Q2,所以输出方程:Y1=Q1‘Q2’  Y2=Q1

状态转换图如下图所示。可以发现电路能自启动。

(2)仿真模拟过程

可观察Q2与CLK周期关系的电路:

  通过观察示波器图像可知,Q2的占空比为1/3,Q2的周期是CLK周期的三倍。

可观察Q1与CLK周期关系的电路:

  通过观察示波器图像可知,Q1的占空比为1/3,Q1的周期是CLK周期的三倍。

可观察Q1与Q2周期关系的电路:

  通过观察示波器图像可知,Q1的占空比为1/3,Q2的占空比为1/3,Q1的周期和Q2的周期相同;但是Q1和Q2在出现高电平的时刻不同,Q1的规律为0-1-0,Q2的规律为0-0-1。

(3)实验结论

    Q1和Q2的占空比均为1/3,周期相同且均为CLK周期的三倍;但是二者在出现高电平的时刻不同,Q1的规律为0-1-0,Q2的规律为0-0-1。

4 观察分析同步时序逻辑电路2:

分析同步时序电路的逻辑功能。用示波器观察并记录CP、Q1(74LS74的1Q端)、Q2(74LS76的1Q端)的波形。说明各触发器的翻转条件。

(1)逻辑功能分析

驱动方程:D = Q1’    J = K = Q1

特性方程:Q1* = D    Q2* = JQ2’ + K’Q2

状态方程:Q1* = Q1’    Q2* = Q1Q2’ + Q1’Q2 = Q1  Q2

令输出Y1=Q1,Y2=Q2,所以输出方程:Y1=Q1’  Y2=Q1  Q2

由于D触发器是上升沿触发,JK触发器是下降沿触发,因此Q1和Q2的变化不同步,需要单独对CLK的上升沿和下降沿时刻进行独立的分析,需要注意Q2的暂存情况和Q1的变化情况。通过分析状态转换可得到真值表,结果如下表所示。

操作次数

CLK

Q1

Q2

0

初始状态,无输入

0

1

1

1

1

2

1

0

3

0

0

4

0

0

5

1

0

6

1

1

7

0

1

8

0

1

后续模拟仿真实验将验证是否符合上表的分析情况。

(2)理论电路图和Multisim电路图

理论电路图:

Multisim电路图:

(3)仿真模拟过程

    根据理论分析时的CLK状态,依次操作单刀双掷开关控制CLK的上升沿和下降沿时刻,观察Q1和Q2的输出情况。各个时刻的电路输出状况依次为下图所示。

0——初始状态:

1——第一个上升沿:

2——第一个下降沿:

3——第二个上升沿:

4——第二个下降沿:

5——第三个上升沿:

6——第三个下降沿:

7——第四个上升沿:

8——第四个下降沿:

    综上所述,仿真模拟实验与理论分析真值表完全拟合。

(4)各触发器的反转条件

对于所有的触发器,如果想要实现翻转情况,需要具备如下的条件:一是触发脉冲信号,二是触发器输入信号状态要满足输出状态翻转条件,三是触发器的置位端及清零端禁止使能。

本实验的D触发器的反转条件为:CLK输入一个上升沿信号。

本实验的JK触发器的反转条件为:Q1=1,同时CLK输入一个下降沿信号。

(如果Q2=0,那么需要Q1=1,同时CLK输入一个下降沿信号;如果Q2=1,那么需要Q1=1,同时CLK输入一个下降沿信号)

三 实验总结

  总体而言,本次模拟仿真实验与理论情况完全拟合,实验效果良好。

通过本次触发器实验,我学习了分析时序电路的步骤为:写出驱动方程——把驱动方程带到触发器的特性方程——得到状态方程——将所有可能的输入带到状态方程——得到次态——得到状态转换图。

同时,基于实验中对D触发器和JK触发器的设计与连线,我对这两个触发器特性方程进行了再次巩固,也更加理解了四句口诀的含义。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/79744.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

cmu 445 poject 1笔记

文章目录cmu 445 poject 1笔记Extendible hashingLRU-KBufferPool Managercmu 445 poject 1笔记 2022年的任务 https://15445.courses.cs.cmu.edu/fall2022/project1/ extendible hashinglru-kbufferpool manger 本文不写代码,只记录遇到的一些思维盲点 Extendible …

SpringCloud02:微服务架构rest模拟环境搭建

微服务架构rest模拟环境搭建Rest环境搭建&#xff1a;服务提供者springcloud主模块pom.xmlspringcloud-api模块springcloud-provider-dept-8001服务提供模块配置相关Rest环境服务消费者Java编写Rest环境搭建&#xff1a;服务提供者 springcloud主模块pom.xml <?xml versi…

让我们看看xargs做了什么事情?

说到xargs,不得不提到 find 和 grep ,当然了少不了管道 | find 和 grep我经常会搞混掉这两个功能很相似的命令的用法,总是会记不太住怎么用,也借此文章加深一下记忆。 find ./xx/xx/ -name abc.v grep -r abc ./* // -r 表示整个目录查找 一般我们会使用find…

[附源码]计算机毕业设计基于Java酒店管理系统Springboot程序

项目运行 环境配置&#xff1a; Jdk1.8 Tomcat7.0 Mysql HBuilderX&#xff08;Webstorm也行&#xff09; Eclispe&#xff08;IntelliJ IDEA,Eclispe,MyEclispe,Sts都支持&#xff09;。 项目技术&#xff1a; SSM mybatis Maven Vue 等等组成&#xff0c;B/S模式 M…

Python Open3D点云配准点对点,点对面ICP(Iterative Closest Point)

Python Open3D点云配准 ICP(Iterative Closest Point&#xff09; 这篇博客将介绍 迭代最近点配准算法(Iterative Closest Point, ICP) 。多年来&#xff0c;它一直是研究和工业中几何注册的支柱。输入是两个点云和一个初始变换&#xff0c;该变换大致将源点云与目标点云对齐。…

g++多文件编译

g windows 多文件编译 文章目录g windows 多文件编译Examplescenario 1scenario 2方法一 使用 先编译&#xff0c;再连接方法二 直接编译 生成结果文件visual code 配置 tasks.json问题 undefined reference to std::__cxx11::basic_string<char, std::charg编译单个文件时&…

Java基础:线程池

第一章 等待唤醒机制 1.1 线程间通信 概念&#xff1a;多个线程在处理同一个资源&#xff0c;但是处理的动作&#xff08;线程的任务&#xff09;却不相同。 比如&#xff1a;线程A用来生成包子的&#xff0c;线程B用来吃包子的&#xff0c;包子可以理解为同一资源&#xff…

【SpringMVC】入门篇:带你了解SpringMVC的执行流程

目录 一、简介 二、环境的搭建 三、快速入门 四、SpringMVC的执行流程 Spring有关的文章已经全部更新完&#xff0c;收录于我的专栏&#x1f449;Spring&#x1f448; 一、简介我们在前边已经学习了Spring的基本使用。从这节开始&#xff0c;我们进行SpringMVC的学习。在学习之…

汇编算数运算指令

目录 加法类指令 加法指令ADD 加进位的加法指令ADC 带进位有啥用呢&#xff1f; 增量指令INC&#xff08;1&#xff09; 减法类指令 减法指令SUB 带借位减法指令SBB 减量指令DEC 比较指令CMP&#xff08;分支程序设计常用&#xff09; 乘法指令 乘法指令MUL和符号整…

职场经验:自动化测试介绍和分类,看这一篇就够了

什么是自动化测试? 自动化测试是软件测试活动中一个重要的分支和组成部分,即利用工具或脚本达到测试目的,没有人工或者极少人工参与的软件测试活动称为自动化测试. 自动化测试的优势有哪些? 方便进行回归测试,当软件的版本发布比较频繁的时候,自动化的效果很明显 自动处理…

全网第三详细tshark使用帮助

一 前言tshark作为wireshark的命令行版本&#xff0c;功能非常强大&#xff0c;可以抓包&#xff0c;数据包分析、提取文件、提取分析后的数据还支持各种格式&#xff0c;可以说一把流量分析的瑞士军刀&#xff0c;如果在低流量的场景&#xff0c;包装下tshark命令&#xff0c;…

查找树莓派ip地址的几种方法

1.环境说明 从上面的图中可以看到树莓派是通过网线和win10电脑相连的&#xff0c;以此来共享win10电脑网络&#xff0c;但是需要在电脑端设置后才能将网络共享出来&#xff0c; 设置方法参考以下链接&#xff1a; 通过一根网线共享网络给另一个电脑或者群辉上网 注意&#xff0…

jQuery 遍历

什么是遍历&#xff1f; jQuery 遍历&#xff0c;意为"移动"&#xff0c;用于根据其相对于其他元素的关系来"查找"&#xff08;或选取&#xff09;HTML 元素。以某项选择开始&#xff0c;并沿着这个选择移动&#xff0c;直到抵达您期望的元素为止。 下图…

dotnet项目使用Cefsharp与Js互相调用函数

1. 背景 最近在一个项目中使用 CefSharp 加载H5页面, 其中一些业务逻辑需要调用 Js 函数, 同时 Js 也会调用一些 native 函数: 这里我们使用官方的demo代码进行添加修改, 修改后的代码在此: DevWiki/CefSharp.MinimalExample - CefSharp.MinimalExample - DevWiki Gitea 2. J…

Allegro如何打开和关闭飞线操作指导

Allegro如何打开和关闭飞线操作指导 Allegro可以打开和关闭飞线,下面介绍如何打开和关闭飞线,具体操作如下 选择display-show rats-all。打开所有nets的飞线 如下图 如果菜单里面添加图标,可以直接点击图标显示所有飞线 选择display-Blank Rats-all关闭所有nets的飞线 …

Spring MVC学习 | 简介HelloWord

文章目录一、Spring MVC简介1.1 MVC回顾1.2 Spring MVC是神魔二、HelloWord2.1 相关文件的准备2.2 创建请求控制器2.3 创建Spring MVC配置文件2.4 测试HelloWord2.4.1 访问首页2.4.2 访问目标页面2.5 执行流程学习视频&#x1f3a5;&#xff1a;https://www.bilibili.com/video…

STM32G4系列存储访问的两个小话题

一、有关CCM访问地址的话题有用过STM32F4系列部分芯片或STM32F334芯片的人&#xff0c;可能知道片内有个CCM【Core Coupled Memory】区域,从芯片系统框图结合文字说明&#xff0c;可以清晰知道这个区域仅能被CPU访问&#xff0c;常用来存放些对执行效率敏感的关键性的代码或数据…

【mmdetection系列】mmdetection之head讲解

目录 1.configs 2.具体实现 3.调用 3.1 注册 3.2 调用 配置部分在configs/_base_/models目录下&#xff0c;具体实现在mmdet/models/*_heads目录下。 这个heads可以是很多个目录下的。 1.configs 我们看下yolox的head吧。 https://github.com/open-mmlab/mmdetection/b…

Web前端开发技术课程大作业:基于HTML+CSS+JavaScript实现校园主题-萍乡田家炳中学校网站(1页)

&#x1f389;精彩专栏推荐 &#x1f4ad;文末获取联系 ✍️ 作者简介: 一个热爱把逻辑思维转变为代码的技术博主 &#x1f482; 作者主页: 【主页——&#x1f680;获取更多优质源码】 &#x1f393; web前端期末大作业&#xff1a; 【&#x1f4da;毕设项目精品实战案例 (10…

详细讲解Linux物理内存初始化

说明&#xff1a; Kernel版本&#xff1a;4.14ARM64处理器&#xff0c;Contex-A53&#xff0c;双核使用工具&#xff1a;Source Insight 3.5&#xff0c; Visio 1. 介绍 让我们思考几个朴素的问题&#xff1f; 系统是怎么知道物理内存的&#xff1f;在内存管理真正初始化之前…