FPGA学习——按键消抖的两种实现方法

news2024/11/29 23:37:40

文章目录

  • 一、按键消抖简介
    • 1.1、为什么要按键消抖
  • 二、C4开发板原理图
  • 三、按键消抖源码
    • 3.1、方案一(每当检测到下降沿便开始重新计数)
    • 3.2、方案二(检测到第一次下降沿后便开始计数)
  • 四、仿真代码及仿真波形图
  • 五、拓展:按键消抖版按键控制LED状态

一、按键消抖简介

1.1、为什么要按键消抖

生活中常用的按键为机械按键,而机械按键在按下后就会产生按键抖动

按键抖动:按键抖动通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动。当按下一次按键,可能在A点检测到一次低电平,在B点检测到一次高电平,在C点又检测到一次低电平。同时抖动是随机,不可测的。那么按下一次按键,抖动可能会误以为按下多次按键。
在这里插入图片描述
一般我们认为抖动存在时间保持在5-10ms左右,而在5-10ms后才会保持稳定,
因此我们可以很容易意识到可以通过延时采样稳定的按键信号进行消抖。而本次设计中博主在消抖后输出的是一个脉冲信号

本文博主提出两种按键消抖的解决方案:

  • 第一种:每当检测到下降沿便开始重复进行20ms计数
  • 第二种:检测到第一次下降沿后,进行一次并只进行这一次20ms计数

第一种方案为博主自行编写,代码略显繁琐‘第二种方案为博主老师的方法,较容易理解(但博主认为从第一次下降沿开始便检测有些许不太严谨),大家可以依据自己的喜好进行选择。

二、C4开发板原理图

在这里插入图片描述
由原理图可以看出,博主的开发板按键按下后低电平有效,博主接下来提供的代码请各位根据自己实际的开发板进行适当的修改

三、按键消抖源码

3.1、方案一(每当检测到下降沿便开始重新计数)

源码分析:

  • 在方案一中,博主设计了key_r0、key_r1、key_r2三个中间寄存器,分别用于同步按键信号key_in并进行打两拍。大家可以认为同步打拍就是在时序电路中,分别将前一个信号的值寄存下来,第一次为同步,后续即为打拍(这里打一拍还是打两拍取决于各位,甚至只同步不打拍也可以进行下降沿检测)。

  • 由于是在时序电路中进行非阻塞赋值,因此三个中间信号分别相差了一个时钟周期,而博主就是利用后两个信号进行下降沿检测,具体如图:
    在这里插入图片描述
    由波形图可以看出,我们可以通过key_r1取反逻辑与上key_r2的运算,检测出下降沿就,即:assign nedge = ~key_r1 && key_r2

  • 在本方案中,博主设计的20ms计数器在不断的计数,但是通过前面的分析我们得知,要想按键消抖,应该在检测到下降沿的时候才开始计数,因此博主在计时器中添加了检测nedge的条件,一旦检测到nedge就会自动将进行计数器清零,以此确保计数器检测到下降沿后是从0开始计数。

  • 但大家又会想到,按键按下的时间如果远远大于20ms,计数器就会不止一次地计数到20ms,而我们也将采样多次,前文博主说道要求输出脉冲信号,因此在按键按下的低电平持续时间内会产生多个脉冲信号,并不符合要求。对此博主的解决方案是:在计数器计数到最大值-1(代码中是MAX - 2 因为计数是从0开始)时便输出脉冲信号,而一旦计数器计数到最大值(MAX - 1)便将cnt保持在最大值,从而避免产生多个脉冲。(最大值-1与最大值只相差一个时钟周期20ns,因此影响可以忽略不计)。

  • 同时注意在一切条件都满足后,输出的key_out的值不应该置1。如果只是单纯置1,假设抖动后按键仍保持在高电平,或者松开按键时产生的释放抖动也会输出一个脉冲信号,很明显在这两种情况下是不成立的,因此key_out的值应该赋为key_in(或者三个中间信号均可)并取反(按键低电平有效需要取反),并且在一个时钟周期后置0(初始值为0)才是输出脉冲信号。

  • 本方案每当检测到下降沿便会重新开始20ms计数

module key_filter(
    input       wire    clk     ,
    input       wire    rst_n   ,
    input       wire    key_in  ,//按键输入信号

    output      reg     key_out  //输出稳定的脉冲信号
);

parameter MAX = 20'd1_000_000;

reg     [19:0]  cnt_delay       ; //20ms延时计数寄存器
wire            add_cnt_delay   ; //开始计数的标志
wire            end_cnt_delay   ; //结束计数的标志

reg             key_r0          ; //同步
reg             key_r1          ; //打一拍
reg             key_r2          ; //打两拍

wire            nedge           ; //下降沿寄存器


//同步打拍
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        key_r0 <= 1'b1;
        key_r1 <= 1'b1;
        key_r2 <= 1'b1;
    end
    else begin
        key_r0 <= key_in; //同步
        key_r1 <= key_r0; //寄存一拍
        key_r2 <= key_r1; //寄存两拍
    end
end

//20ms计数器
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt_delay <= 1'b0;
    end
    else if(add_cnt_delay )begin
        if(nedge)begin //检测到下降沿从0开始计数
            cnt_delay <= 1'b0;
        end
        else if(cnt_delay == MAX - 1'b1)begin
            cnt_delay <= cnt_delay; //计数计满结束后保持,避免产生多个输出脉冲
        end
        else begin
            cnt_delay <= cnt_delay + 1'b1;
        end
    end
    else begin
        cnt_delay <= 1'b0;
    end
end

assign nedge = ~key_r1 && key_r2; //下降沿检测
assign add_cnt_delay = 1'b1; 
assign end_cnt_delay = add_cnt_delay && cnt_delay == MAX - 1'b1;


//key_out脉冲信号赋值
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        key_out <= 1'b0;
    end
    else if(cnt_delay == MAX - 2'd2)begin //计数到最大值减1时产生按键脉冲
        key_out <= ~key_in;//不可直接置为1!!!!!!!!!
    end
    else begin
        key_out <= 1'b0;
    end
end

endmodule

3.2、方案二(检测到第一次下降沿后便开始计数)

源码分析:

  • 原理与第一种方案类似,但是此方案引入了中间变量flag,初始值为0,检测到下降沿后便将flag置为1,此时再将flag作为开始计数的条件,同时计满20ms后再将flag置为0,也就省去了在最大值-1时赋值key_out并保持计数器最大值的繁琐。
  • 此方法与方案一的区别就在于,方案一相当于是在抖动过程中最后一次下降沿才开始计数,而此方案是在抖动过程中的第一次下降沿便开始计数。
/**************************************功能介绍***********************************
Date	: 2023-07-26 14:43:33
Author	: majiko
Version	: 1.0
Description: 按键消抖模块(1位按键)
*********************************************************************************/
    
//---------<模块及端口声名>------------------------------------------------------
module key_filter( 
    input				clk		,
    input				rst_n	,
    input				key_in  ,
    output  reg         key_down //输出脉冲信号(按键按下1次) 
);								 
//---------<参数定义>--------------------------------------------------------- 
    parameter TIME_20MS = 1000_000;//20ms

//---------<内部信号定义>-----------------------------------------------------
    reg                 key_r0          ;//同步
    reg                 key_r1          ;//打两拍
    reg                 key_r2          ;
    wire                n_edge          ;//下降沿
    reg                 flag            ;//计数器计数的标志信号(按键按下抖动标志)

    reg			[19:0]	cnt_20ms	   	;//20ms计数器
    wire				add_cnt_20ms	;
    wire				end_cnt_20ms	;

//****************************************************************
//--同步打拍
//****************************************************************
    //同步,将key_in信号,同步到clk时钟域下面
    always @(posedge clk or negedge rst_n)begin 
        if(!rst_n)begin
            key_r0 <= 1'b1;
        end 
        else begin 
            key_r0 <= key_in;
        end 
    end
    
    //打两拍
    always @(posedge clk or negedge rst_n)begin 
        if(!rst_n)begin
            key_r1 <= 1'b1;
            key_r2 <= 1'b1;
        end 
        else begin 
            key_r1 <= key_r0;
            key_r2 <= key_r1;
        end 
    end
    
//****************************************************************
//--n_edge 
//****************************************************************
    assign n_edge = ~key_r1 & key_r2;//下降沿检测
    // assign p_edge = key_r1 & ~key_r2;//上升沿检测

//****************************************************************
//--cnt_20ms
//****************************************************************
    always @(posedge clk or negedge rst_n)begin 
       if(!rst_n)begin
            cnt_20ms <= 'd0;
        end 
        else if(add_cnt_20ms)begin 
            if(end_cnt_20ms)begin 
                cnt_20ms <= 'd0;
            end
            else begin 
                cnt_20ms <= cnt_20ms + 1'b1;
            end 
        end
    end 
    
    assign add_cnt_20ms = flag;
    assign end_cnt_20ms = add_cnt_20ms && cnt_20ms == TIME_20MS - 1;
    
//****************************************************************
//--flag
//****************************************************************
    always @(posedge clk or negedge rst_n)begin 
        if(!rst_n)begin
            flag <= 'd0;
        end 
        else if(n_edge)begin 
            flag <= 1'b1;
        end 
        else if(end_cnt_20ms)begin
            flag <= 1'b0;
        end
    end

//****************************************************************
//--key_down
//****************************************************************
    always @(posedge clk or negedge rst_n)begin 
        if(!rst_n)begin
            key_down <= 'd0;
        end 
        else if(end_cnt_20ms)begin 
            key_down <= 1'b1;
        end 
        else begin 
            key_down <= 1'b0;
        end 
    end

endmodule

四、仿真代码及仿真波形图

本次仿真较为随意,只是为了展示逻辑功能

源码:

`timescale 1ns/1ns
module tb_key_filter();

reg    clk     ;
reg    rst_n   ;
reg    key_in  ;
 
wire    key_out; 

parameter CYCLE = 20;
always #(CYCLE / 2) clk = ~clk;

initial begin
    clk = 1'b0;
    rst_n = 1'b1;
    key_in = 1'b1;
    #20
    rst_n = 1'b0;
    #20
    rst_n = 1'b1;
    #60
    key_in = 1'b0;
    #(30*CYCLE);
    $stop;
end


key_filter#(.MAX(10)) u_key_filter(
    .clk    (clk    ) ,
    .rst_n  (rst_n  ) ,
    .key_in (key_in ) ,

    .key_out(key_out)  
);

endmodule

仿真波形图:
在这里插入图片描述

可以看出,成功输出了一次脉冲信号key_out

五、拓展:按键消抖版按键控制LED状态

按键消抖模块:

module key_filter(
    input       wire            clk     ,
    input       wire            rst_n   ,
    input       wire  [3:0]     key_in  ,//按键输入信号

    output      reg   [3:0]     key_out  //输出稳定的脉冲信号
);

parameter MAX = 20'd1_000_000;

reg     [19:0]          cnt_delay       ; //20ms延时计数寄存器
wire                    add_cnt_delay   ; //开始计数的标志
wire                    end_cnt_delay   ; //结束计数的标志

reg     [3:0]           key_r0          ; //同步
reg     [3:0]           key_r1          ; //打一拍
reg     [3:0]           key_r2          ; //打两拍

wire                    nedge           ; //下降沿寄存器


//同步打拍
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        key_r0 <= 4'b1111;
        key_r1 <= 4'b1111;
        key_r2 <= 4'b1111;
    end
    else begin
        key_r0 <= key_in; //同步
        key_r1 <= key_r0; //寄存一拍
        key_r2 <= key_r1; //寄存两拍
    end
end

//20ms计数器
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        cnt_delay <= 1'b0;
    end
    else if(add_cnt_delay )begin
        if(nedge)begin //检测到下降沿从0开始计数
            cnt_delay <= 1'b0;
        end
        else if(cnt_delay == MAX - 1'b1)begin
            cnt_delay <= cnt_delay; //计数计满结束后保持,避免产生多个输出脉冲
        end
        else begin
            cnt_delay <= cnt_delay + 1'b1;
        end
    end
    else begin
        cnt_delay <= 1'b0;
    end
end

assign nedge = (~key_r1[0] && key_r2[0]) || (~key_r1[1] && key_r2[1]) || (~key_r1[2] && key_r2[2]) || (~key_r1[3] && key_r2[3]); //下降沿检测
assign add_cnt_delay = 1'b1; 
assign end_cnt_delay = add_cnt_delay && cnt_delay == MAX - 1'b1;


//key_out脉冲信号赋值
always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        key_out <= 4'b0000;
    end
    else if(cnt_delay == MAX - 2'd2)begin //计数到最大值减1时产生按键脉冲
        key_out <= ~key_in;
    end
    else begin
        key_out <= 4'b0000;
    end
end

endmodule

LED控制模块:

module led (
    input   wire                clk     ,
    input   wire                rst_n   ,
    input   wire    [3:0]       key_in  ,

    output  reg     [3:0]       led
);



parameter MAX = 15_000_000 ;
reg     [25:0]      cnt ;
reg     [3:0]       flag;


always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        cnt <= 1'b0;
    end
    else if(cnt == MAX - 1'b1)begin
        cnt <= 1'b0;
    end
    else begin
        cnt <= cnt + 1'b1;
    end
end

reg [2:0]   state   ;

always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        state <= 1'b0;
    end
    else if(cnt == MAX - 1'b1)begin
        state <= state + 1'b1;
    end
    else begin
        state <= state;
    end
end

always@(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        flag <= 4'b0000;
    end
    else if(key_in[0] == 1'b1)begin
        flag <= 4'b0001;
    end
    else if(key_in[1] == 1'b1)begin
        flag <= 4'b0010;
    end
    else if(key_in[2] == 1'b1)begin
        flag <= 4'b0100;
    end
    else if(key_in[3] == 1'b1)begin
        flag <= 4'b1000;
    end
    else begin
        flag <= flag;
    end
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        led <= 4'b0001;
    end
    else if(flag == 4'b0001)begin
        case(state)
           3'd0 : led <= 4'b0000;
           3'd1 : led <= 4'b0001;
           3'd2 : led <= 4'b0011;
           3'd3 : led <= 4'b0111;
           3'd4 : led <= 4'b1111;
           3'd5 : led <= 4'b0111;
           3'd6 : led <= 4'b0011;
           3'd7 : led <= 4'b0001;
        default:;
        endcase
    end
    else if(flag == 4'b0010 && cnt == MAX - 1'b1)begin
        led <= {led[2:0],led[3]};
    end
    else if(flag == 4'b0100 && cnt == MAX - 1'b1)begin
        led <= {led[2:0],~led[3]};
    end
    else if(flag == 4'b1000 && cnt == MAX - 1'b1)begin
        led <= 4'b1111;
    end
end

endmodule

顶层模块:

module top_key_led(
    input   wire                clk     ,
    input   wire                rst_n   ,
    input   wire    [3:0]       key_in  ,
    output  wire    [3:0]       led
);

wire [3:0]      key_out;
 
key_filter u_key_filter(
    .clk     (clk   ),
    .rst_n   (rst_n ),
    .key_in  (key_in),

    .key_out (key_out) 
);

led u_led(
   .clk     (clk    ),
   .rst_n   (rst_n  ),
   .key_in  (key_out),

   .led     (led    ) 
);

endmodule

注意:led模块有一点问题,在key0跑马灯开始后再按下key1,key2时,呈现效果也许不是流水灯,因为跑马灯开始后led状态不再是4’b0001

六、参考资料
https://blog.csdn.net/weixin_43828944/article/details/122360794

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/792736.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

【金万维】使用天联高级版登录用友U8,文件输出操作。

【操作步骤】 1、通过天高客户端登录U8后&#xff0c;打开对应的报表或者列表&#xff08;以余额表为例&#xff09;。 2、点击“输出”按钮后&#xff0c;弹出“另存为”窗口&#xff0c; 注意&#xff1a;当前“保存在&#xff1a;桌面”这个路径为服务器的桌面&#xff0c;…

matlab 读取fig中的数据

1.使用命令打开fig open(文件名.fig) 2.查看图像包含的数据和属性 Location是原始的&#xff0c;Normal 可能是调整了顺序后的。

echarts制作多个纵轴的折线图

代码 <script type"text/javascript"> $(function (){var myChart echarts.init(document.getElementById(main));option {color: ["#9bbb59","#0B438B","#4141F1","#F81945","#4bacc6","#F89E19&q…

怎么把知识问答做成二维码?分享简单的二维码制作工具

现在无论是工厂的职工还是学校的学生&#xff0c;都会有各种各样的知识问答。传统的纸质知识问答&#xff0c;不仅浪费人力物力&#xff0c;还需要占用上班或是上学的时间来完成。为了方便大家使用&#xff0c;我们可以把知识问答做成二维码图片&#xff0c;随时随地手机扫一扫…

【代码随想录day20】二叉搜索树中的搜索

题目 给定二叉搜索树&#xff08;BST&#xff09;的根节点 root 和一个整数值 val。 你需要在 BST 中找到节点值等于 val 的节点。 返回以该节点为根的子树。 如果节点不存在&#xff0c;则返回 null 。 示例 1: 思路 因为这里是二叉排序树&#xff0c;所以不用爆搜所有节点…

RTOS 低功耗设计原理及实现

RTOS 低功耗设计原理及实现 文章目录 RTOS 低功耗设计原理及实现&#x1f468;‍&#x1f3eb;前言&#x1f468;‍&#x1f52c;Tickless Idle Mode 的原理及实现&#x1f468;‍&#x1f680;Tickless Idle Mode 的软件设计原理&#x1f468;‍&#x1f4bb;Tickless Idle Mo…

超过443万人次观看|央媒聚焦全球吉商大会,实在智能携国产大模型TARS出席

据吉林新闻联播近期报道&#xff0c;第八届全球吉商大会、第二届吉林省校友人才促进吉林振兴发展大会在长春开幕。省委书记景俊海出席开幕式并讲话。省委副书记、省长胡玉亭主持开幕式。省政协主席朱国贤为“吉商突出贡献人物”颁奖。全国工商联副主席汪鸿雁致辞。开幕式全程进…

3.2.20:DTP与Datepicker实现日期的输入

【分享成果&#xff0c;随喜正能量】人生艰难自不必去回避&#xff0c;人生艰难说多了也是白说&#xff0c;为什么&#xff0c;解决不了问题&#xff0c;说了也还是那么难。。 我给VBA的定义&#xff1a;VBA是个人小型自动化处理的有效工具。利用好了&#xff0c;可以大大提高…

PostgreSQL——sql文件导入

Windows方式&#xff1a; 进入PostgreSQL安装目录的bin&#xff0c;进入cmd 执行命令&#xff1a; psql -d 数据库名 -h localhost -p 5432 -U 用户名 -f 文件目录 SQL Shell: 执行命令&#xff1a; \i 文件目录(Windows下要加引号和双斜线)

第五章 聚合函数与内置函数

第五章 聚合函数与内置函数 一、聚合函数1、常用聚合函数2、示例&#xff08;1&#xff09;count&#xff08;2&#xff09;sum&#xff08;3&#xff09;avg&#xff08;4&#xff09;max&#xff08;5&#xff09;min 二、内置函数1、日期函数&#xff08;1&#xff09;总览&…

【Java基础学习打卡18】运算符(上)

目录 前言一、运算符和表达式1.运算符2.表达式 二、算术运算符1.加法运算符2.减法运算符3.乘法运算符4.除法运算符5.取余运算符6.表达式类型自动提升 总结 前言 本文主要介绍运算符和表达式&#xff0c;及运算符中的算术运算符。在 Java 编程中&#xff0c;运算符起着非常重要…

Java获取调用当前方法的方法名和行数(亲测可行)

有时候一个方法被很多方法调用了&#xff0c;但是在调试应用程序的时候&#xff0c;需要知道是哪个方法调用它的&#xff0c;方便定位bug问题。否者&#xff0c;比较难以理清和解决一些bug问题。 适用&#xff1a;任何适用java语言编程的地方&#xff0c;java后端和android端。…

【BOOST程序库】时间日期库

基本概念这里不再浪费时间介绍了&#xff0c;这里给出时间日期库的常见使用方法&#xff1a; #define _CRT_SECURE_NO_WARNINGS #include <iostream> #include <string> #include <boost/version.hpp> #include <boost/config.hpp>//时间库&#xff1…

AI绘画 | 黄金时代的铠甲女王

我是赤辰。本栏目专程向大家分享由SD制作的令人惊叹的AI绘画作品。这些作品以高品质、纯净背景、完美形象和直爽风格脱颖而出。数字化时代的艺术创新&#xff0c;接下来让我们一同领略这些作品带来的视觉盛宴&#xff0c;让艺术点亮生活&#xff01; 参考提示词&#xff1a; 非…

mysql的日期类型的数据转换为年或者月类型的统计

SELECT CONCAT(YEAR(DATE), if (MONTH(DATE)<10,CONCAT(0,MONTH(DATE)),MONTH(DATE))) AS date , round(SUM(capacity),2) AS ca_dsoc FROM dianchi4 where date > 20211231 GROUP BY YEAR(DATE), MONTH(DATE) 月度的跨年处理就是第一个

教师综合评价系统ssm学生班级课程选课教务评教管理jsp源代码mysql

本项目为前几天收费帮学妹做的一个项目&#xff0c;Java EE JSP项目&#xff0c;在工作环境中基本使用不到&#xff0c;但是很多学校把这个当作编程入门的项目来做&#xff0c;故分享出本项目供初学者参考。 一、项目描述 基于Java的疫情社区人员流动管理系统springboot vue …

大数据时代个人信息安全保护小贴士

个人信息安全保护小贴士 1. 朋友圈“五不晒”2. 手机使用“四要”、“六不要”3. 电脑使用“七注意”4. 日常上网“七注意”5. 日常生活“五注意” 互联网就像公路&#xff0c;用户使用它&#xff0c;就会留下脚印。 每个人都在无时不刻的产生数据&#xff0c;在消费数据的同时…

error: /tmp/ccxy1wo0.o: multiple definition of ‘tgt_flow_thread_init‘

linux 项目使用Makefile 编译代码时&#xff0c;一直报错 从报错意思上看很明确&#xff0c;就是重复定义 tgt_flow_thread_init函数 但是我从全局搜索代码看根本不存在重复定义问题。 从网上看是说可能存在头文件有重复的定义或者头文件被重复的引用&#xff0c;但是我看了…

PM、PMZ、PDM、VPDM比例调压阀控制放大器

PMV、PDV、SPDB、VPDB比例溢流阀控制放大器 PM、PMZ、PDM、VPDM比例调压阀控制放大器 SE、SEH、PSR2、PSR3比例流量调节阀控制放大器 EDL、PSL、 PSV、PSLF、PSVF比例多路换向阀控制放大器 比例多路换向阀属于换向阀类。 它控制一个或同时操作的多个液压耗能器的运动方向和…

【C语言】9-三大结构之选择结构-3

1. 综合举例 1.1 例1 编写一个程序完成输入一个 1-7 中的数字,输出对应的是星期几 这里推荐使用 Visio 或者类似的软件来画一个流程图。在进行程序设计时,尤其是大型项目时,软件流程图可以帮助我们很好的分析程序的结构以及结构需求,跟着流程图来写程序可以让写出来的程序…