助力打造“先锋城市” 中国数字智能生态大会在深圳举行

news2024/7/2 3:55:38

0b2e54ae16a4f4685ceede8d2e062f37.gif

随着数字经济的深入发展,以人工智能、大数据、数字孪生等新技术所产生的社会价值日益凸显,以“技术红利”牵引带动“改革红利”,形成广泛共识。

7月5日下午,以供需对接、链接合作为特色的 CDEC2023中国数字智能生态大会深圳站活动在深圳南山科兴科学园举行。

4d3448fecfec1d12f251c05777a55893.png

大会以“共建AI智能生态”为主题,吸引谷斗科技、浪潮,以及来自深圳区域的开发商、集成商、渠道商、服务商共同出席,就深圳打造“先锋城市”所蕴含的数字化机遇进行探讨,实现面对面交流,以聚集合力,达成全面合作。

此次深圳站活动,是CDEC2023中国数字智能生态大会全年活动的第三站,由中国软件网、海比研究院、中国软件行业协会应用软件产品云服务分会、中国总会计师协会信息化分会主办,深圳市软件行业协会、科兴科学园联合主办。

01

让城市更聪明、更智慧

改革、开放、创新,是过去四十多年深圳高速发展的动力来源,也是未来实现高质量发展的重要支撑。近年来,深圳在加快建设具有全球重要影响力的产业科技创新中心上又有新动作,已经提出了极速先锋城市、人工智能先锋城市、数字能源先锋城市和数字孪生先锋城市等四个“先锋城市”的概念,不断探索发展新赛道。

今年深圳政府工作报告提到,将继续以高标准打造智慧城市,有序建设全自主可控的数字孪生城市和鹏城自进化智能体,加快打造国际新型智慧城市标杆和“数字中国”城市典范,为深圳先行示范区建设提供有力支撑。

3cc0666e5f2c67929582abe8d7c2ea7b.png

深圳市软件行业协会秘书长郑飞到会致辞,他说,深圳高度重视数字化转型,最新发布的《深圳市数字孪生先锋城市建设行动计划(2023)》,更是进一步提出,深圳要建设“数实融合、同生共长、实时交互、秒级响应”的数字孪生先锋城市,以国内领先、世界一流的智慧城市和数字政府,推动城市高质量发展。

特别是在制造业领域,他号召广大数字化企业一起抱团,充分利用生态大会这样的舞台,开拓思路、抢抓机遇,做到早转型、早主动、早受益,将用户转型挑战变为数字化商机。

f2a0ab13ae4106ff35bd4153bf3b4eb0.png

人工智能大模型是当下全球科技创新、数字经济发展的焦点。中国软件网、海比研究院总裁曹开彬在开场致辞中表示,我国人工智能大模型已具有一定的技术积淀、市场基础,完全可以发挥应用场景优势,进一步深耕垂直领域,以实践高质量应用,并联动产业各方共同努力,建好生机勃勃的人工智能生态。

CDEC中国数字智能生态大会就是数字经济领域实现信息打通、生态共建的重要纽带,曹总希望通过大会来不断激活创新,创造生态合作商机,助力区域数字经济发展,赋能深圳“先锋城市”建设,让城市变得更聪明、更智慧。

02

把握AI智能生态新机遇

2023年是疫情过后第一年,数字化企业要在人工智能大潮中把握机遇,将对自身的市场判断、技术积累、资源整合、生态建设等提出更高要求。

围绕企业数智生态建设,在会上,行业领先企业和创新平台分享了各自企业生态体系建设、生态赋能等方面的解决方案与成功实践。

e654ae7ae772a02dd03be1c6f2ab9dd1.png

谷斗科技副总经理李书齐以“智能供应链优化平台,赋能制造业高质量发展”为题,在大会现场分享了谷斗科技数字化供应链建设实践落地方案。

他提出,订单零散化、需求波动大、供应不稳定、计划周期长、数据难协同是智能制造普遍遇到的难题。谷斗科技资源智能优化协同平台,通过结构化业务指标、业务规则,构建业务模型,结合优化算法,实现自动决策,并随着环境变化进行快速响应,实时一体化优化更新所有决策结果,真正赋予企业经营决策的智慧大脑,支撑企业上下游产业链的优化协同,推动中国制造业数字转型进程。

谷斗科技的资源智能优化协同平台填补了工业互联网和消费互联网的资源协同优化领域空白,最终实现社会化资源的优化配置,促进社会生产力的生态式、进化式发展和创新。在企业销售、采购、供应链、生产、库存、物流等领域为企业提供软件系统及落地实施等一揽子的智能决策协同整体解决方案。

李书齐还表示:“谷斗最终的目标是搭建起产业生态级协同平台,像产业互联网的‘路由器’,实现产业链上下游之间实时资源调度,真正确保行业协同效能的提升。”

06daa650037b73e992b9e837eaf3df5e.png

产业数字化是数字经济发展的主攻方向,数字化转型是发展数字经济的核心驱动力。浪潮海岳数字企业华南区首席顾问柴斌到会进行主题演讲,他介绍,重塑用户体验、主营业务增长,数据驱动、智能运营,从零到一、颠覆式创新构成企业数字化转型的三个重要方向。

推进企业数字化转型,可分为顶层设计、平台建设、全面推进三个阶段。浪潮海岳愿意与广大伙伴携手,系统推进企业数字化转型走深、走实,成就智慧企业,实现协同创新,全方位推动高质量发展。

在闭门会交流环节,多位参会代表表示,参加数字智能生态大会这样的行业活动,让自己收获很大。一是可以见识新思路、结识新厂家,扩充自身业务线;二是可以加强与同行之间的交流,盘活市场资源,为后续发展注入动能。

接下来,参会企业们将就新产品及新方案行业落地、生态共建等事项继续加强与上游厂家的联系,以碰撞出更多务实合作机会。

在本次深圳站活动圆满闭幕之后,CDEC2023中国数字智能生态大会将在7月6日奔赴广州,在天河区东莞庄路110号中创汇·赛宝科技园主楼1 楼举行广州站活动。

欢迎广大华南区域的软件开发商、SaaS企业、渠道代理商、服务商等对活动感兴趣的朋友,在中国软件网活动专区进行报名,一起来到活动现场,共同走进人工智能、数智经济发展所带来的新世界!

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/730164.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

logstash grok解析Java log实践

针对Java配置的日志格式如下: <property name="log_pattern" value="%d{yyyy-MM-dd HH:mm:ss.SSS} %contextName [%thread] %mm{sessionId} %-5level %logger{50} [%file:%line] - %P{traceId} - %msg%n"/> %d表示日期, %thread表示线程名, %-…

在vue中全局修改滚动条样式

在App.vue中加入以下样式代码&#xff1a; ::-webkit-scrollbar {-webkit-appearance: none;width: 6px;height: 6px; } ::-webkit-scrollbar-track {background: rgba(0, 0, 0, 0.1);border-radius: 0; } ::-webkit-scrollbar-thumb {cursor: pointer;border-radius: 5px;bac…

【MySQL库表操作】

一、数据库Market中创建表customers 1、创建数据库 #创建数据库 mysql> create database Market; mysql> use Market;2、创建数据表 #创建数据表 mysql> create table customers(-> c_num int(11) primary key auto_increment,-> c_name varchar(50),-> c_…

【SQL】查找多个表中相同的字段

--查找字段所在 SELECTbb.TABLE_NAME,bb.COLUMN_NAME ,aa.COLUMN_ID,aa.DATA_TYPE,aa.DATA_LENGTH ,bb.COMMENTS FROMuser_tab_cols aa JOIN user_col_comments bb ONaa.TABLE_NAME bb.TABLE_NAMEAND aa.COLUMN_NAME bb.COLUMN_NAME JOIN dba_objects cc ONbb.TABLE_NAME cc…

Python程序设计——总目录

下面三套课程只是适用人群不同&#xff0c;基本知识点覆盖都是一样&#xff0c;不同人群选择不同的课程学习即可&#xff0c;不必要全都学习&#xff0c;以下是适用人群介绍&#xff1a; Python程序设计&#xff1a;适用于有一定基础且时间充裕的朋友Python基础&#xff1a;适…

Scala的变量和数据类型续篇

Scala的变量和数据类型续篇 文章目录 Scala的变量和数据类型续篇写在前面字符串字符串连接传值字符串插值字符串多行字符串 输入输出输入输出网络 数据类型Java数据类型Scala数据类型 类型转换自动类型转化&#xff08;隐式转换&#xff09;强制类型转化字符串类型转化 写在前面…

SSM学习笔记-------Spring(二)

SSM学习笔记-------Spring&#xff08;二&#xff09; Spring_day021、IOC/DI配置管理第三方bean1.1 案例:数据源对象管理1.1.1 环境准备1.1.2 思路分析1.1.3 实现Druid管理步骤1:导入druid的依赖步骤2:配置第三方bean步骤3:从IOC容器中获取对应的bean对象步骤4:运行程序 1.1.4…

清华大学团队提出一种基于稳态视觉诱发反应的混合脑机接口

更多脑机接口前沿技术&#xff0c;关注公众号&#xff1a;脑机接口社区 近日&#xff0c;清华大学团队提出一种基于脑电图&#xff08;EEG&#xff09;和磁脑电图&#xff08;MEG&#xff09;混合的脑机接口&#xff08;BCI&#xff09;系统的研究&#xff0c;旨在提高BCI性能…

数字电路设计——加法器

数字电路设计——加法器 半加器 半加器只有两个一位宽的输入 a a a 和 b b b &#xff0c;输出 a b ab ab 所产生的本位和 s u m sum sum 和进位 c o u t cout cout。组合逻辑为&#xff1a; S A ⊕ B , C o u t A B S A \oplus B,Cout AB SA⊕B,CoutAB 真值表和原…

选读SQL经典实例笔记02_多表查询

1. 除非有必要&#xff0c;否则不要用UNION代替UNION ALL 2. 查找两个表中相同的行 2.1. 当执行连接查询时&#xff0c;为了得到正确的结果&#xff0c;必须慎重考虑要把哪些列作为连接项 2.2. 当参与连接的行集里的某些列可能有共同值&#xff0c;而其他列有不同值的时候&a…

2.4.cuda驱动API-使用驱动API进行内存分配

目录 前言1. 内存分配总结 前言 杜老师推出的 tensorRT从零起步高性能部署 课程&#xff0c;之前有看过一遍&#xff0c;但是没有做笔记&#xff0c;很多东西也忘了。这次重新撸一遍&#xff0c;顺便记记笔记 本次课程学习精简 CUDA 教程-Driver API 内存分配 课程大纲可见下面…

基于Java生活缴费系统设计实现(源码+lw+部署文档+讲解等)

博主介绍&#xff1a;✌全网粉丝30W,csdn特邀作者、博客专家、CSDN新星计划导师、Java领域优质创作者,博客之星、掘金/华为云/阿里云/InfoQ等平台优质作者、专注于Java技术领域和毕业项目实战✌ &#x1f345;文末获取源码联系&#x1f345; &#x1f447;&#x1f3fb; 精彩专…

【工具】录屏工具Bandicam参数测试(参数设置建议)

一款小而精美的软件&#xff0c;上手特别容易&#xff0c;学习版参见b站up视频&#xff1a;【免费】好用录屏软件推荐&#xff0c;无水印1080P你值得拥有。 我有个需求&#xff0c;要求录屏但视频文件尽可能小但保持基本清晰。 我分别用看视频环境&#xff08;b站学习教程类&…

探索全桥电机驱动模块:实现精确控制与高效驱动

全桥电机驱动模块是一种在现代工程应用中广泛使用的电机驱动方式。对于需要精确控制和高效驱动的场景&#xff0c;如机器人、无人机、电动车等&#xff0c;全桥电机驱动模块提供了理想的解决方案。本文将介绍全桥电机驱动模块的原理和实际应用场景&#xff0c;并对几种常见的全…

【高并发网络通信架构】引入IO多路复用(select,poll,epoll)实现高并发tcp服务端

目录 一&#xff0c;往期文章 二&#xff0c;基本概念 IO多路复用 select 模型 poll 模型 epoll 模型 三&#xff0c;函数清单 1.select 方法 2.poll 方法 3.epoll_create 方法 4.epoll_ctl 方法 5.epoll_wait 方法 6.struct epoll_event 结构体 四&#xff0c;代…

uniapp踩坑之项目:uniapp修改弹窗组件样式

在components文件夹里创建zz-prompt文件夹&#xff0c;再在下面创建index.vue <!--通知弹窗index.vue--> <template><view class"prompt-box" v-if"visible" touchmove"true"><view class"prompt"><view c…

1.8 用户注册_和使用的工具类

步骤1&#xff1a;在common模块下,创建对应的工具类 /** 创建性别枚举(Sex)*/ /** md5加密类(MD5Utils)*/ /** 时间转换格式化类(DateUtil)*/ /** 生成全局唯一主机id (Sid)*/步骤2&#xff1a;在pojo模块下&#xff0c;创建表单封装bo对象 /** 注册表单bo对象 UserBO*/步骤3…

怎么将桌面笔记软件中记录的内容折叠起来或展开显示?

记笔记是一种良好的习惯&#xff0c;不仅可以帮助我们整理思绪&#xff0c;还能有效地记录重要的信息。在现代科技的支持下&#xff0c;一款优秀的笔记软件已经成为我们记录和管理事项的主要工具。特别是一款能够折叠的桌面笔记软件&#xff0c;将会给用户带来更多的便利和效率…

2023.07.07 homework

孩子们有些基础不好&#xff0c;鼓励为主&#xff0c;教他们慢慢搬公式推算&#xff0c;提高准确率就好啦。每一次比上一次好一点点&#xff0c;慢慢找回自信心。 图形结合&#xff0c;话说话&#xff0c;其实数学这玩意&#xff0c;画得好也比较直观 第八题找规律的题目&#…

【如何成功加载 HuggingFace 数据集】不使用Colab,以ChnSentiCorp数据集为例

【如何成功加载 HuggingFace 数据集】不使用Colab&#xff0c;以ChnSentiCorp数据集为例 前置加载数据集尝试一&#xff1a;标准加载数据库代码尝试二&#xff1a;科学上网尝试三&#xff1a;把 Huggingface 的数据库下载到本地尝试3.5 创建 state.json彩蛋 前置 Huggingface …