【FPGA】Verilog:时序电路应用 | 序列发生器 | 序列检测器

news2024/10/6 21:24:19

前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载

示例:序列发生器与序列检测器

  • 功能特性: 采用 Xilinx Artix-7 XC7A35T芯片 
  • 配置方式:USB-JTAG/SPI Flash
  • 高达100MHz 的内部时钟速度 
  • 存储器:2Mbit SRAM   N25Q064A SPI Flash(样图旧款为N25Q032A)
  • 通用IO:Switch :x8LED:x16Button:x5DIP:x8   通用扩展IO:32pin
  • 音视频/显示: 7段数码管:x8 VGA视频输出接口 Audio音频接口 
  • 通信接口:UART:USB转UART   Bluetooth:蓝牙模块 
  • 模拟接口: DAC:8-bit分辨率   XADC:2路12bit 1Msps ADC

目录

 Ⅰ. 前置知识

0x00 序列检测器

0x01 序列发生器

 Ⅱ. 示例讲解

0x00 序列发生器

0x01 序列检测器

Ⅲ. Verilog实现

0x00 序列检测器

0x01 序列发生器 


 Ⅰ. 前置知识

0x00 序列检测器

序列检测器在数据通讯,雷达和遥测等领域中用于检测同步识别标志。

它是一种用来检测一组或多组序列信号的电路。例如检测器收到一组指定的串行码后,输出标志1,否则,输出0。检测器每收到一个符合要求的串行码就需要用一个状态进行记忆。

若要检测的串行码长度为N位,则需要N个状态;另外,还需要增加一个“未收到一个有效位”的初始状态,共N+1个状态;

0x01 序列发生器

序列发生器用于产生一个指定序列串,与序列检测器类似,每产生一个符合要求的串行码就需要用一个状态进行记忆。

若要产生的串行码长度为N位,则需要N个状态;另外,还需要增加一个“未产生一个有效位”的初始状态,共N+1个状态

❗注:

进行序列发生器或者序列检测器的设计,首先按要求画出状态转换图(表),然后按照实现方案采用经典设计方法或者Verilog语言完成设计。

 Ⅱ. 示例讲解

0x00 序列发生器

 设计一个序列发生器,输出序列为110100

a、设计实现方法;

b、基于Verilog语言完成设计;

c、利用VIVADO验证并给出testbench结果(波形图)。

参考方法一:计数器加组合输出网络类型

计数型序列信号发生器的结构框图如图示:

它由计数器和组合输出网络两部分组成,序列信号从组合输出网络输出。

这种类型的序列信号发生器一般分两步来设计,首先根据序列的长度M设计模M计数器,计数器的状态可以自定;然后按计数器的状态转移关系和序列码的要求设计组合输出网络。

由于计数器的状态设置和输出网络没有直接的关系,因此这种结构对于输出序列的更改比较方便,而且还能同时产生多组序列码。

下面描述的为计数器加组合输出网络构成的序列信号发生器,产生001011序列信号,通过内部的3位计数器进行计数,由计数状态和输出序列的对应关系,得到其输出组合逻辑真值表,从表中可以看到,Q2Q1Q0从000开始计数并不断加1,每个状态对应一个输出Z。通过真值表可以得到卡诺图,

化简后可以得到输出逻辑函数为:

Q2

Q1

Q0

Z

0

0

0

0

0

0

1

0

0

1

0

1

0

1

1

0

1

0

0

1

1

0

1

1

参考代码如下:

module sequence_signal_counter(input clk, input rst_n, output outD);
//实现001011序列信号产生
	reg [2:0] counter;
	always @(posedge clk)	begin
			if(rst_n == 1'b0)              counter <= 3'b000;
			else if(counter == 3'b101)     counter <= 3'b000;
			else                      counter <= counter + 1'b1;
	end
	assign outD = ((~counter[0]) & counter[1]) | counter[2];
endmodule

参考方法二:寄存器方式实现的序列信号产生器。

利用6位移位寄存器方式实现序列信号产生器,在输入端din输入任意序列,通过移位寄存器,使得输入信号的最高位移入最低位,其他各位依次向左移一位,在每个时钟上升沿到来时,将输入信号的最高位通过dout输出,从而循环产生序列信号。

module sequence_signal_shifter(input clk, input rst, input [5:0] din, output outD); 
	reg dout;
	reg [5:0] temp;
	assign outD=dout;
	always@(posedge clk)
		begin
			if(rst == 1'b0)
				temp <= din;
			else
				begin
					dout <= temp[5];
					temp <= {temp[4:0], temp[5]};
				end
		end
endmodule

参考方法三:利用有限状态机构造序列信号产生器。关于状态图和状态机的构造可以参考下面序列检测器的内容。

module sequence_signal_FSM(input clk, input rst_n, output outD);
    //有限状态机方式实现010011序列信号产生器
    reg dout;  
    reg [2:0] pre_state, next_state;  
    parameter s0 = 3'b000, s1 = 3'b001, s2 = 3'b010,  
            s3 = 3'b011, s4 = 3'b100, s5 = 3'b101;  
    assign outD=dout;
    always @(posedge clk or negedge rst_n)  
        begin  
            if(rst_n == 0)     pre_state <= s0;  //让初始为输出0的状态,观察与设为s5的区别
            else             pre_state <= next_state;  
        end  
  
    always @(pre_state)  
        begin  
            case(pre_state)  
                s0:  
                    begin  
                        dout = 1'b0;  
                        next_state <= s1;  
                    end  
                s1:  
                    begin  
                        dout = 1'b1;  
                        next_state = s2;  
                    end  
                s2:  
                    begin  
                        dout = 1'b0;   
                        next_state = s3;  
                    end  
                s3:  
                    begin  
                        dout = 1'b0;
                        next_state = s4;  
                    end  
                s4:  
                    begin  
                        dout = 1'b1;
                        next_state = s5;  
                    end  
                s5:  
                    begin  
                        dout = 1'b1;
                        next_state = s0;  
                    end  
                default: next_state = s0;  
            endcase  
        end  
endmodule

0x01 序列检测器

设计一个序列检测器,检测序列为11010

a、画出状态转换图;

b、基于Verilog语言完成设计,结合序列发生器,编写顶层文件,在vivado中验证并给出整体test bench结果(波形图)

下面讨论状态机的设计方法:

在“11010”序列检测器中,有6个状态,如果加上一个Idle状态,共7个状态,最初的状态分析如下表:

input

NS/out

PS

0

1

IDLE

0/0

1/0

0

0/0

1/0

1

0/0

11/0

11

110/0

11/0

110

0/0

1101/0

1101

11010/1

11/0

11010

0/0

1/0

Present State

Next State/Output

对应状态图如图:

 首先考虑是否可以通过状态化简,简化电路结构,减少Verilog代码量。

可以看到状态“Idle”、状态“0”和“11010”在相同的输入下,它们的次态、输出都完全相同,故此三个状态可以化简为一个状态。化简结果如下表和下图。

input

NS/out

PS

0

1

0

0/0

1/0

1

0/0

11/0

11

110/0

11/0

110

0/0

1101/0

1101

0/1

11/0

Present State

Next State/Output

接下来对化简后的状态进行命名、编码。本例将“0”,“1”,“11”,“110”,“1101”状态分别命名为“S0”,“S1”,“S11”,“S110”,“S1101”。

Verilog参考程序如下:

module sequence_detector(input seq, input clk, input rst,output Z);       // detector "11010"
reg b;
reg [4:0]state;
assign Z=b;
parameter S0  = 5'b1_0000,
          S1    = 5'b0_1000,
          S11   = 5'b0_0100,
          S110  = 5'b0_0010,
          S1101 = 5'b0_0001;
//状态的记录利用one-hot编码
always @(posedge clk or negedge rst)     //low active
  if (!rst) begin
              state <= S0;
              b <= 0;
             end
  else 
      case(state)
     S0: if( seq == 0)
              begin
                state <= S0;
                b <= 0;
              end
           else
              begin
                state <= S1;
                b <= 0;
              end
 
     S1: if( seq == 0)
              begin
                state <= S0;
                b <= 0;
              end
           else
              begin
                state <= S11;
                b <= 0;
              end

     S11: if( seq == 0)
              begin
                state <= S110;
                b <= 0;
              end
           else
              begin
                state <= S11;
                b <= 0;
              end

     S110: if( seq == 0)
              begin
                state <= S0;
                b <= 0;
              end
           else
              begin
                state <= S1101;
                b <= 0;
              end

     S1101: if( seq == 0)
              begin
                state <= S0;
                b <= 1;
              end
           else
              begin
                state <= S11;
                b <= 0;
              end
      
      default state <= 5'bx;
    endcase
endmodule

Ⅲ. Verilog实现

0x00 序列检测器

设计代码:

module t_11010(reset,clk,x,z);
input reset,clk,x;
output z;
parameter y0=3'b000,y1=3'b001,y2=3'b010,y3=3'b011,y4=3'b100,y5=3'b101;
reg z;
reg [3:1] now,next;
always@(posedge clk)
if(!reset)now<=y0;
else now<=next;

always@(x or now)
case(now)
y0:if(x) next=y1;
else next=y0;
y1:if(x) next=y2;
else next=y0;
y2:if(!x)next=y3;
else next=y2;
y3:if(x) next=y4;
else next=y0;
y4:if(!x) next=y0;
else next=y2;
default:next=y0;
endcase

always @(posedge clk)
if(!reset)z<=0;
else if((now==y0)&&(x==0))z<=1;
else z<=0;
endmodule

仿真代码:

module sim_pj1();
reg reset,clk,x;
wire z;
reg[10:0]test;
t_11010 uu1(reset,clk,x,z);
initial begin
clk=0;
reset=0;
test=11'd0;
#100 reset=1;
test=11'b0001_1010_000;
end
always #20 clk=~clk;
always #40
begin
x=test[10];
test={test[9:0],test[10]};
end

endmodule

仿真波形:

0x01 序列发生器 

设计代码:

module sequence_signal_FSM(input clk, input rst_n, output outD);
    //有限状态机方式实现010011序列信号产生器
    reg dout;  
    reg [2:0] pre_state, next_state;  
    parameter s0 = 3'b000, s1 = 3'b001, s2 = 3'b010,  
            s3 = 3'b011, s4 = 3'b100, s5 = 3'b101;  
    assign outD=dout;
    always @(posedge clk or negedge rst_n)  
        begin  
            if(rst_n == 0)     pre_state <= s0;  //让初始为输出0的状态,观察与设为s5的区别
            else             pre_state <= next_state;  
        end  
    always @(pre_state)  
        begin  
            case(pre_state)  
                s0:  
                    begin  
                        dout = 1'b0;  
                        next_state <= s1;  
                    end  
                s1:  
                    begin  
                        dout = 1'b1;  
                        next_state = s2;  
                    end  
                s2:  
                    begin  
                        dout = 1'b0;   
                        next_state = s3;  
                    end  
                s3:  
                    begin  
                        dout = 1'b0;
                        next_state = s4;  
                    end  
                s4:  
                    begin  
                        dout = 1'b1;
                        next_state = s5;  
                    end  
                s5:  
                    begin  
                        dout = 1'b1;
                        next_state = s0;  
                    end  
                default: next_state = s0;  
            endcase  
        end  
endmodule

仿真代码:

module sim_sequence_signal_FSM();
    reg clk;
    reg rst_n;
    wire outD;
    sequence_signal_FSM uu1(clk,rst_n,outD);
    initial begin
     clk=0;rst_n=1;
     #30;
     rst_n=0;#10;
     rst_n=1;
    end
    always #10 clk=~clk;
endmodule

仿真波形图:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/389707.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

车道线检测CondLaneNet论文和源码解读

CondLaneNet: a Top-to-down Lane Detection Framework Based on Conditional Convolution Paper&#xff1a;https://arxiv.org/pdf/2105.05003.pdf code&#xff1a;GitHub - aliyun/conditional-lane-detection 论文解读&#xff1a; 一、摘要 这项工作作为车道线检测任…

js垃圾回收机制

内存的生命周期 ]S环境中分配的内存&#xff0c;一般有如下生命周期 1.内存分配:当我们声明变量、函数、对象的时候&#xff0c;系统会自动为他们分配内存 2.内存使用:即读写内存&#xff0c;也就是使用变量、函数等 3.内存回收: 使用完毕&#xff0c;由垃圾回收器自动回收不再…

MySQL实战解析底层---事务到底是隔离的还是不隔离的

目录 前言 “快照”在 MVCC 里是怎么工作的&#xff1f; 更新逻辑 前言 讲事务隔离级别的时候提到过&#xff0c;如果是可重复读隔离级别&#xff0c;事务 T 启动的时候会创建一个视图 read-view之后事务 T 执行期间&#xff0c;即使有其他事务修改了数据&#xff0c;事务 T…

​ ​​ ​IIS之FTP服务器 部署 (图文详细) 千锋

目录 概述 部署 步骤&#xff1a; 二重新配置FTP服务器 概述 1、File Transfor Protocol 文件传输协议 2、端口号&#xff1a; TCP 20/21 3、工作方式&#xff1a; 1)主动模式 2&#xff09;被动模式 部署 步骤&#xff1a; 配置静态IP 安装IIS-ftp软件 使用默认站…

学python的第三天---基础(1)

一、圆的面积print("A{:.4f}".format(s))二、两点间的距离![在这里插入图片描述](https://img-blog.csdnimg.cn/0d07c41d856d470796c79067b78c41b6.png)写法一&#xff1a;写法二&#xff1a;三、钞票和硬币写法一&#xff1a;写法二&#xff1a;四、倍数在python中实…

Spring Aware总结

概述 Spring中Aware到底是什么意思&#xff1f; 我们在看Spring源码的时候&#xff0c;经常可以看到xxxAwarexxx的身影&#xff0c;通常我会很疑惑&#xff0c;Aware到底是什么意思呢&#xff1f; 比如图片中这些包含Aware关键字的类或者接口。 我对下面3个类或接口进行了解…

【FMCW 02】测距

承接上篇博文 中频IF信号 &#xff0c;我们已经知道得到的中频IF信号的形式为&#xff1a; xIF(t)A′′cos⁡(2πKτt2πfoτ)x_{\tiny{IF}}(t) A^{\prime \prime} \cos(2\pi K\tau t2\pi f_o \tau ) xIF​(t)A′′cos(2πKτt2πfo​τ) 其中时延τ2dc\tau \frac{2d}{c}τc2…

【数据库】15分钟了解TiDB

由于目前的项目把mysql换成了TiDb&#xff0c;所以特意来了解下tidb。其实也不能说换&#xff0c;由于tidb和mysql几乎完全兼容&#xff0c;所以我们的程序没有任何改动就完成了数据库从mysql到TiDb的转换&#xff0c;TiDB 是一个分布式 NewSQL (SQL 、 NoSQL 和 NewSQL 的优缺…

C++之空间配置器

目录 一、C语言中的类型转换 二、C的类型转换 三、C强制类型转换 static_cast reinterpret_cast const_cast volatile关键字 dynamic_cast 什么情况下需要将父转成子呢&#xff1f; static_cast与dynamic_cast转换对比 四、空间配置器 什么是空间配置器 为什么需要…

raspberry pi播放音视频

文章目录目的QMediaPlayerGStreamerwhat is GStreamer体系框架优势omxplayerwhat is omxplayercommand Linekey bindings运行过程中错误ALSA目的 实现在树莓派下外接扬声器&#xff0c; 播放某段音频&#xff0c; 进行回音测试。 QMediaPlayer 首先我的安装是5.11版本。 优先…

【并发编程二十一:终章】c++20协程( co_yield、co_return、co_await )

【并发编程二十一】c20协程(co_yield、co_return、co_await &#xff09;一、协程分类1、控制机制划分2、有栈&#xff08;stackfull)/无栈&#xff08;stackless)划分二、c20协程三、co_yield1、demo2、相关知识点介绍四、co_return五、co_await一、协程分类 上一篇我们讲解了…

如何让AI帮你干活-娱乐(2)

背景&#xff1a;好容易完成朋友的任务&#xff0c;帮忙给小朋友绘画比赛生成一些创意参考图片。他给我个挑战更高的问题&#xff0c;是否可以帮他用AI生成一些视频。这个乍一听以现在AI技术根本不太可能完成。奈何他各种坚持&#xff0c;无奈被迫营业。苦脸接受了这个不可能完…

Java线程知识点总结

文章目录Java 线程基础线程简介什么是进程什么是线程进程和线程的区别创建线程ThreadRunnableCallable、Future、FutureTaskCallableFutureFutureTaskCallable Future FutureTask 示例线程基本用法线程休眠线程礼让终止线程守护线程线程通信wait/notify/notifyAlljoin管道线程…

MATLAB——数据及其运算

MATLAB数值数据数值数据类型的分类1&#xff0e;整型整型数据是不带小数的数&#xff0c;有带符号整数和无符号整数之分。表中列出了各种整型数据的取值范围和对应的转换函数。2&#xff0e;浮点型浮点型数据有单精度(single&#xff09;和双精度&#xff08;(double)之分&…

精粤X99M-PLUS D3+ E5-2696 v3电脑 Hackintosh 黑苹果efi引导文件

原文来源于黑果魏叔官网&#xff0c;转载需注明出处。硬件型号驱动情况主板精粤X99M-PLUS D3处理器E5-2696 v3已驱动内存64GB ECC DDR3 1866MHz (16GB*4)已驱动硬盘TOPMORE CAPRICORNUS NVMe 1TB已驱动显卡AMD Radeon™ RX 570 series (4GB/MSI)已驱动声卡Realtek ALC897 英特…

Android framework系列2 - Init进程

1、源码 入口&#xff1a;system/core/init/main.cpp2 流程图 https://note.youdao.com/s/EtnCswft 3、代码详解 主入口共三步&#xff0c;如流程图所示&#xff0c;我们主要看下最后一步 入口在init.cpp下&#xff0c;这个阶段主要来解析init.rc并执行此文件下的命令 看到…

多人协作|RecyclerView列表模块新架构设计

多人协作|RecyclerView列表模块新架构设计多人协作设计图新架构设计与实现设计背景与新需求新架构设计多人协作设计图 根据产品设计&#xff0c;将首页列表即将展示内容区域&#xff0c;以模块划分成多个。令团队开发成员分别承接不同模块进行开发&#xff0c;且互不影响任务开…

【Maven】P2 创建 Maven java/web 工程

Maven项目Maven 项目构建命令使用 Maven插件 创建 java/web 工程创建工程格式创建 java 工程创建 web 工程IDEA 中创建 Maven Java 工程IDEA 中创建 Maven web 工程Maven 项目构建命令 mvn compile # 编译 mvn clean # 清理 mvn test # 测试 mvn package # 打包 mvn …

0626-0631韩顺平Java Buffered字节处理流 学习笔记

如何去构建字节流package com.hspedu.outputstream_;import java.io.*;/*** author abner* version 1.0*/ public class BufferedCopy02 {public static void main(String[] args) {String srcFilePath "D:\\Users\\Pictures\\Camera Roll\\Pierre-Auguste_Renoir,_Le_Mo…

java基本数据类型变量间的运算规则

基本数据类型变量间的运算规则。 运算规则包括&#xff1a; 这里提到可以做运算的基本数据类型有7种&#xff0c;不包含boolean类型 1.自动类型提升 2.强制类型转换 自动类型提升日规则&#xff1a;当容量小的变量与容量大的变量做运算时&#xff0c;结果自动转换为容量大的数…