开源物联网技术--TFT_LCD屏驱动软硬件设计分享

news2024/9/29 12:37:00

01

一、物联网系统中为什么要使用TFT-LCD屏

在物联网系统中使用TFT-LCD(薄膜晶体管液晶显示器)驱动芯片的原因主要可以归纳为以下几点:

专业性与高效性

  • 专业图形处理:TFT-LCD驱动芯片内置了专业的图形处理引擎,能够高效地处理图像数据,包括文字、图形、视频等,确保在物联网设备上呈现出高质量的显示效果。

  • 优化显示性能:驱动芯片能够调节亮度、对比度等显示参数,优化显示效果,使图像更加清晰、色彩更加鲜艳,提升用户体验。

资源节约与简化设计

  • 减少IO占用:物联网设备通常资源有限,特别是IO端口资源。TFT-LCD驱动芯片通过简化的接口(如SPI、I2C等)与主控芯片通信,大大减少了主控芯片IO端口的占用,使得系统设计更加简洁。

  • 降低功耗:驱动芯片通常具有低功耗设计,能够在保证显示效果的同时减少能源消耗,这对于需要长时间运行且依赖电池供电的物联网设备尤为重要。

简化编程与调试

  • 简化编程复杂度:使用TFT-LCD驱动芯片后,开发者可以通过高级命令或接口来控制显示屏,而无需深入了解底层的显示控制逻辑。这大大简化了编程工作,提高了开发效率。

  • 易于调试:由于驱动芯片将复杂的显示控制逻辑封装在内部,开发者在调试过程中可以更加专注于应用层的功能实现,而无需深入到底层的显示控制逻辑中。

兼容性与扩展性

  • 广泛兼容性:TFT-LCD驱动芯片通常具有广泛的兼容性,能够支持多种类型的TFT-LCD显示屏。这使得在物联网系统中可以根据具体需求选择合适的显示屏类型,而无需担心兼容性问题。

  • 易于扩展:随着物联网技术的发展,对显示屏的需求也在不断变化。使用TFT-LCD驱动芯片可以方便地扩展显示屏的功能和性能,满足未来物联网系统的需求。

具体应用场景

1、消费类电子产品

TFT-LCD在消费类电子产品中的应用最为广泛,包括:

  • 智能手机:作为智能手机的核心部件之一,TFT-LCD提供了高分辨率、色彩丰富的显示效果,为用户带来极佳的视觉体验。

  • 平板电脑:平板电脑同样依赖TFT-LCD来展示丰富的多媒体内容和应用程序。

  • 笔记本电脑:笔记本电脑的显示屏也大多采用TFT-LCD技术,以满足用户对高清晰度和色彩准确性的需求。

  • 电视机:随着液晶电视的普及,TFT-LCD成为主流显示技术之一,为家庭娱乐提供了高质量的视觉享受。

2、医疗设备

TFT-LCD在医疗设备领域也发挥着重要作用。例如:

  • 超声诊断仪、心电图机、血压计、体温计等医疗设备需要高清晰度、高对比度的显示屏来辅助医生进行准确的诊断。TFT-LCD的高分辨率和色彩还原度使其成为这些设备的理想选择。

  • 医疗影像显示和手术导航系统中,TFT-LCD能够呈现清晰的图像,为医生提供可靠的视觉支持。

3、工业自动化领域

在工业自动化领域,TFT-LCD被广泛应用于各种显示屏和人机界面中,如:

  • 数控机床、工业机器人、过程控制系统等需要高清晰度、高亮度、高韧性、高稳定性的显示屏来支持复杂的工业操作和生产监控。TFT-LCD的高清显示和可靠性能使其成为这些设备的核心部件之一。

4、汽车电子

随着汽车智能化和网联化的发展,TFT-LCD在汽车电子领域的应用也越来越广泛。例如:

  • 汽车仪表、中控显示屏、后座娱乐系统和车载导航系统等都需要高清晰度、高色彩饱和度的显示屏幕来提供丰富的信息和娱乐功能。TFT-LCD不仅提升了驾驶体验,还增强了车辆的安全性和舒适性。

5、其他领域

除了以上几个主要领域外,TFT-LCD还被广泛应用于航空航天、军事装备、智能家居等多个领域。例如:

  • 航空航天:用于飞机和航天器的仪表显示、舱内娱乐系统和导航显示器中。

  • 军事应用:在雷达显示器、作战指挥系统和飞行模拟器等方面得到应用。

  • 智能家居:作为智能家电的显示屏和控制界面,为用户提供便捷的操作体验。

综上所述,物联网系统中使用TFT-LCD驱动芯片可以带来专业性、高效性、资源节约、简化设计、简化编程与调试以及良好的兼容性与扩展性等多方面的优势。这些优势使得TFT-LCD驱动芯片在物联网系统中得到广泛应用。


02

二、TFT-LCD屏驱动芯片的行业知识介绍

TFT-LCD的基本结构

  TFT-LCD由液晶显示面板、背光模组、驱动电路等部分组成。其中,液晶显示面板是核心部件,由两片玻璃基板中间夹有液晶材料构成,每个像素点上都设置有一个薄膜晶体管(TFT)。这些TFT作为开关元件,控制液晶分子的排列,从而实现对光线的调制和显示。

TFT-LCD的驱动原理

  1、TFT的工作原理

  TFT晶体管在液晶显示屏的每一个像素点上都有一个,用于控制该像素点的显示。当TFT的栅极(Gate)接收到一个满足导通要求的高电平时,TFT导通,允许数据信号通过源极(Source)和漏极(Drain)之间的通道,给液晶电容充电。充电完成后,栅极电压降低,TFT关断,但液晶电容上的电压会保持一段时间,直到下一次充电。

  2、驱动电路

  TFT-LCD的驱动电路主要包括时序控制器(TCON)、源极驱动器(Source Driver)和栅极驱动器(Gate Driver)。

  • 时序控制器(TCON):负责接收主控发送的RGB数据,并进行单独帧、校正颜色和亮度等处理,然后将处理后的数据发送给源极驱动器和栅极驱动器。

  • 源极驱动器(Source Driver):连接到TFT的源极,负责将TCON发送的数据信号转换为模拟电压信号,并施加到液晶像素的电极上,从而控制液晶分子的排列和显示效果。

  • 栅极驱动器(Gate Driver):负责按顺序一行一行地打开TFT的栅极,使得源极驱动器能够依次给每一行的液晶像素充电。

  3、信号传输与处理

  TFT-LCD的驱动过程中,信号传输和处理起着至关重要的作用。RGB数据信号、时钟信号和控制信号等通过特定的接口(如TTL、LVDS等)传输到驱动电路。驱动电路对这些信号进行处理后,生成控制TFT开关和液晶像素充电的信号,从而实现图像的显示。

TFT-LCD的驱动方式

  TFT-LCD的驱动方式多种多样,包括帧反转、列反转、行反转和点反转等。这些驱动方式通过改变施加在液晶分子上的电压极性和时序,来抑制闪烁、提高显示效果和延长使用寿命。

  • 帧反转:在同一帧内所有像素的电压极性相同,相邻帧之间电压极性相反。

  • 列反转:相邻数据线上对应的子像素以列为单位正负极反转。

  • 行反转:相邻数据线上对应的子像素以行为单位正负极反转。

  • 点反转:在同一帧画面下,每一个点与自己相邻的上下左右四个点保持相反的极性,在接下来的一帧画面下,所有子像素的电压极性同时反转。

三者关系

  TFT(Thin Film Transistor)

  定义:

  TFT即薄膜场效应晶体管,是一种特殊的晶体管,使用薄层技术在基板上沉积半导体材料及其他材料形成。它的工作原理基于电场效应,与金属氧化物半导体(MOS)场效应晶体管类似。当栅极施加电压时,会改变沟道区域的电导率,从而控制源极和漏极之间的电流流动,这个电流进而控制像素点的明暗变化,实现图像的显示。

  特点:

  • 为每个像素配置一个半导体开关器件,每个节点都相对独立,并可以进行连续控制。

  • 广泛应用于TFT-LCD内部来驱动液晶像素点。

  LCD(Liquid Crystal Display)

  定义:

  LCD即液晶显示屏,是Liquid Crystal Display的简称。其构造是在两片平行的玻璃当中放置液态的晶体,通过电压来改变液晶材料内部分子的排列状况,以达到遮光和透光的目的来显示深浅不一、错落有致的图象。

  特点:

  • 液晶显示屏具有耗电少、使用寿命长、成本低等优点。

  • 色彩显示比CRT更高、更精准。

  • 广泛应用于电视、台式电脑、手机、监视器等设备上。

  TFT-LCD(Thin Film Transistor Liquid Crystal Display)

  定义:

  TFT-LCD又称为主动式电晶薄膜晶体管液晶显示屏,是LCD的一种高级形式。它使用薄膜晶体管技术来改善影象品质,通过TFT上的信号与电压改变来控制液晶分子的转动方向,从而达到控制每个像素点偏振光出射,实现高速度、高亮度、高对比度显示屏幕信息。

  特点:

  • 每个像素点上都配置了一个TFT,使得显示效果更加细腻和准确。

  • 具有超薄体形、低功耗、低辐射、无闪烁、完全物理平面、低反光以及清晰的字符显示等优点。

  • 色彩还原度远超其他种类的显示屏,广泛应用于智能手机、平板电脑、笔记本电脑等消费类电子产品中。

  三者具体关系
  • TFT与LCD:TFT是LCD技术中的一种关键组件,用于驱动和控制LCD中的液晶像素点。没有TFT,LCD的显示效果将大打折扣。

  • TFT与TFT-LCD:TFT-LCD是TFT技术应用于LCD领域的一种产品形态,它结合了TFT和LCD的优点,实现了更高质量的显示效果。

  • LCD与TFT-LCD:TFT-LCD是LCD的一种高级形式,具有更好的显示效果和更广泛的应用领域。

TFT-LCD的分类

  按驱动方式分类

  1、静态驱动(Static Drive)

  • 特点:静态驱动方式下,每个像素点都有独立的驱动电路,能够直接控制每个像素点的显示状态。这种方式具有显示质量高、亮度均匀等优点,但成本较高,适用于对显示效果要求极高的场合。

  2、单纯矩阵驱动(Simple Matrix Drive)

  • 细分:单纯矩阵驱动又可分为扭转式向列型(Twisted Nematic, TN)和超扭转式向列型(Super Twisted Nematic, STN)等。

  • 特点:在这种驱动方式下,像素点通过行列交叉的电极矩阵进行控制。由于成本较低,适用于像素较少、对显示效果要求不高的场合。然而,随着像素数量的增加,交叉噪声等问题会逐渐显现,影响显示效果。

  3、主动矩阵驱动(Active Matrix Drive)

  • 代表:TFT-LCD就是主动矩阵驱动的一种典型代表。

  • 特点:每个像素点都配有一个薄膜晶体管(TFT)作为开关元件,能够实现对每个像素点的独立控制。这种方式具有响应速度快、显示质量高、色彩丰富等优点,是目前液晶显示技术的主流方向。

按接口方式分类

  1、MCU屏

  • 特点:这类TFT屏通常只适用于3.5吋以下的小尺寸屏,像素不超过320x480。可以通过与MCU(微控制器)的并口或串口方式直接操作LCD控制器里面的显存进行显示。但MCU屏可能会出现刷屏慢的现象。

  2、RGB屏

  • 特点:通常指3.5吋-10.1吋左右的中尺寸TFT屏,分辨率可以到达1024x600或更高。这类屏显示数据量巨大,不能通过MCU直接操作LCD驱动器,必须通过专门的图形控制IC将显示数据转换成RGB信号,再传输给TFT驱动器完成显示。

  3、高清数字接口屏

  • 代表:如MIPI、LVDS、EDP等接口方式的TFT屏。这些屏主要应用于高分辨率TFT显示(800x480-1920x1080),显示数据传输须经过专用芯片处理后才能传送给屏驱动器完成显示。

按其他特性分类

  1、按色深分类

  • 如65K色(65536种色彩,16bit)、262K色(18bit)、16.7M色(24bit)等。色深越大,表示色彩越丰富,但系统开销也越大。

  2、按显示模式分类

  • 如常黑模式(Normally Black)和常白模式(Normally White)等。这两种模式在显示效果和功耗方面有所不同,适用于不同的应用场景。

  综上所述,TFT-LCD的驱动方式可以从多个角度进行分类,每种分类方式都有其独特的特点和应用场景。在实际应用中,需要根据具体需求选择合适的驱动方式和TFT-LCD产品。

TFT-LCD驱动芯片的选型参数

  1、分辨率

  定义:分辨率是指显示屏上像素点的数量,通常以水平像素数×垂直像素数的形式表示,如1920×1080。

  重要性:分辨率直接影响显示画面的清晰度和细腻度。高分辨率的显示屏能够呈现更多的细节和更丰富的色彩。

  2、屏幕尺寸

  定义:屏幕尺寸是指显示屏对角线的长度,通常以英寸(inch)为单位。

  重要性:屏幕尺寸决定了显示画面的大小,适用于不同的应用场景。例如,手机、平板电脑和电视等设备的屏幕尺寸各不相同。

  3、色彩深度

  定义:色彩深度也称为色阶或位深度,表示显示屏能够显示的颜色数量。常见的色彩深度有6位(64色)、8位(256色)、16位(65536色)、24位(1677万色)等。

  重要性:色彩深度越大,显示屏能够呈现的颜色种类就越多,色彩过渡更加自然,画面更加真实。

  4、亮度与对比度

  亮度:指显示屏的最大发光强度,通常以尼特(nit)为单位。

  对比度:指显示屏上最亮与最暗区域的亮度比值。

  重要性:亮度和对比度直接影响显示画面的明亮程度和色彩层次感。高亮度和高对比度的显示屏能够在各种光线环境下提供清晰的视觉效果。

  5、视角

  定义:视角是指从不同方向观看显示屏时,画面保持清晰和色彩不失真的最大角度范围。

  重要性:视角决定了显示屏的观看舒适度和适用范围。宽视角的显示屏能够提供更广阔的观看角度,减少色彩失真和亮度下降。

  6、响应时间

  定义:响应时间是指液晶分子从一种状态转变为另一种状态所需的时间,通常以毫秒(ms)为单位。

  重要性:响应时间决定了显示屏在显示动态画面时的流畅度和清晰度。较短的响应时间能够减少拖影和模糊现象,提高观看体验。

  7、功耗

  定义:功耗是指显示屏在工作状态下所消耗的电能。

  重要性:功耗直接影响设备的续航能力和使用成本。低功耗的显示屏能够延长设备的电池寿命,降低使用成本。

  8、接口类型

  定义:接口类型是指显示屏与外部设备(如计算机、手机等)连接时所使用的数据接口。

  重要性:接口类型决定了显示屏的兼容性和传输速度。不同的接口类型适用于不同的应用场景和设备类型。

  9、驱动IC

  定义:驱动IC是控制显示屏工作的核心芯片,负责接收和处理外部设备发送的显示数据,并将其转换为显示屏能够识别的信号。

  重要性:驱动IC的性能直接影响显示屏的显示效果和稳定性。选择合适的驱动IC能够确保显示屏的高品质显示和长寿命运行。

  10、其他参数

  除了以上主要参数外,还有一些其他参数也可能影响TFT-LCD驱动的选型,如温度范围、存储条件、使用寿命等。这些参数根据具体的应用场景和需求进行选择。

TFT-LCD驱动芯片的优缺点

TFT(Thin Film Transistor,薄膜场效应晶体管)驱动技术作为液晶显示屏的主流驱动方式,具有一系列的优点和缺点。以下是对TFT驱动优缺点的详细分析:

优点

  1、高画质:

  • TFT-LCD采用薄膜晶体管作为开关元件,能够实现对每个像素点的独立控制,因此具有高速度、高亮度、高对比度和高分辨率的特点,能够呈现细腻、清晰的图像。

  • 色彩还原度高,能够显示丰富的色彩和细腻的色彩过渡,使得画面更加生动逼真。

  2、低功耗:

  • 相比传统的CRT显示器,TFT-LCD的功耗要低得多,这有助于节省能源并延长便携式设备的电池寿命。

  • 近年来,随着技术的进步,TFT-LCD的低功耗特性得到了进一步的提升,通过优化驱动电路和背光系统等方式,进一步降低了功耗。

  3、轻薄便携:

  • TFT-LCD具有平板化、轻薄化的特点,大大节省了原材料和使用空间,使得其成为便携式电子设备(如手机、平板电脑等)的理想显示器件。

  4、使用寿命长:

  • TFT-LCD的使用寿命相对较长,能够长时间稳定工作而不会出现明显的性能下降或损坏。

  5、环保健康:

  • TFT-LCD无辐射、无闪烁,对使用者的健康无损害,符合现代环保和健康理念。

  6、适用范围广:

  • TFT-LCD可在较宽的温度范围内正常工作,并且经过特殊处理的TFT-LCD甚至可以在极端温度条件下工作。

  • 它不仅适用于移动终端显示和台式终端显示,还可以作为大屏幕投影电视等全尺寸视频显示终端使用。

  7、易于集成化和更新换代:

  • TFT-LCD是大规模半导体集成电路技术和光源技术的完美结合,易于集成化和更新换代,具有很大的发展潜力。

缺点

  1、成本较高:

  • 相比其他类型的液晶显示屏(如TN、STN等),TFT-LCD的生产成本较高,这在一定程度上限制了其在某些低成本应用领域的普及。

  2、耗电量相对较大(尽管低功耗是优点之一,但相对于某些更先进的显示技术):

  • 尽管TFT-LCD已经具有较高的能效比,但在某些高亮度、高分辨率的应用场景下,其耗电量仍然相对较大。这对于便携式设备来说是一个需要考虑的因素。

  3、可视角度限制:

  • 尽管TFT-LCD的可视角度已经得到了很大的改善,但与某些新型显示技术(如OLED)相比,其可视角度仍然存在一定的限制。在极端角度下观看时,可能会出现色彩失真或亮度下降的情况。

  4、响应速度:

  • 尽管TFT-LCD的响应速度已经足够快以满足大多数应用场景的需求,但在某些需要极高响应速度的应用(如电竞显示器)中,其性能可能无法与某些更先进的显示技术相媲美。

  综上所述,TFT-LCD驱动具有画质高、功耗低、轻薄便携、使用寿命长、环保健康、适用范围广和易于集成化等优点;但同时也存在成本较高、耗电量相对较大、可视角度限制和响应速度等缺点。在实际应用中,需要根据具体需求和场景来选择合适的显示技术和驱动方案。

TFT-LCD驱动芯片的使用注意事项

  1、电压与电流控制

  • 驱动电压:液晶显示屏(LCD)应防止施加过大的直流电压。驱动电压的直流成分越小越好,最大不要超过50mV。长时间的施加过大的直流成分,会导致电解和电极老化,从而降低寿命。

  • 电压稳定性:确保提供给TFT-LCD的电压稳定,避免电压波动对显示屏造成损害。

  2、环境因素

  • 温度:TFT-LCD必须在规定温度范围内保存和使用。温度过高会导致液晶态消失,变成液态,显示面呈黑色,无法工作;温度过低则可能导致液晶结成冰花,造成永久损伤。

  • 光照:避免长时间的阳光直射,因为液晶及偏振片在紫外线照射下会发生光化学反应,导致劣化。

  • 湿度:保持适当的湿度,避免潮湿环境。湿度过高可能导致LCD内部结露,引起漏电和短路,甚至烧毁显示屏。

  3、机械保护

  • 避免震动与冲击:TFT-LCD是玻璃制品,十分脆弱,应避免强烈的冲击、碰撞、挤压和振动。更不可以对LCD的液晶屏施加压力。

  • 装配与存储:在装配和存储过程中,要注意避免划伤和弄脏显示屏。同时,不要进行长时间密封储存,以防化学气体损害液晶及偏光片。

  4、电磁干扰

  • 远离磁场:TFT液晶显示屏要远离磁场较强的物体,周围强大的磁场会使显示器的内部产生额外的电压,影响到显示屏电压的稳定性,长时间处于强大的磁场中还会导致色彩失真,影响显示效果和寿命。

  5、初始化与驱动

  • 正确初始化:部分TFT-LCD模组需要初始化通讯,必须向TFT-LCD供应商索取正确的初始化应用通讯程序,以确保初始化通讯的成功。

  • 驱动设置:根据TFT-LCD模组的规格书,正确设置驱动参数,包括分辨率、时序参数等。

  6、使用与维护

  • 避免长时间固定画面:避免让液晶屏长时间显示固定画面,以防止产生残影。

  • 合理使用屏保与壁纸:使用LCD时,慎用壁纸和屏保,尤其是色彩艳丽、光线明暗变化对比强烈的壁纸和屏保程序,长时间使用会使LCD色彩失真,影响寿命。

  • 定期清洁:定期清洁显示屏表面,避免灰尘和污垢的积累。

  7、电气安全

  • 接地与绝缘:确保TFT-LCD的接地良好,避免电气干扰和安全隐患。同时,注意显示屏与其他电气部件的绝缘处理。

TFT-LCD驱动芯片的厂商

全球主要厂商

  联咏科技(Novatek)

  • 地位:中国台湾芯片设计领导厂商,全球驱动芯片龙头企业。

  • 产品:全系列的平面显示屏幕驱动芯片,以及移动终端及消费电子产品上应用之数字影音、多媒体单芯片产品解决方案。

  • 市场表现:在智能手机TFT-LCD驱动芯片市场占据重要地位,出货量市占率曾高达31%。

  Texas Instruments(德州仪器)

  • 地位:全球领先的半导体公司。

  • 产品:包括TFT-LCD驱动芯片在内的多种半导体产品。

  • 市场表现:在全球TFT-LCD驱动IC市场中占有重要地位。

  Microchip Technology

  • 产品:提供多种类型的TFT-LCD驱动芯片。

  • 市场表现:在智能穿戴类TFT-LCD驱动芯片市场中占有一定份额。

  Samsung(三星)

  • 业务:三星不仅是显示面板的生产商,也涉足显示驱动芯片领域。

  • 市场表现:在整合型AMOLED显示驱动芯片市场中占据领先地位,同时也在TFT-LCD驱动芯片市场有所布局。

  NXP Semiconductors(恩智浦半导体)

  • 产品:提供多种高性能的半导体解决方案,包括TFT-LCD驱动芯片。

  • 市场表现:在全球市场中占有一定份额。

  Renesas Electronics(瑞萨电子)

  • 产品:包括TFT-LCD驱动芯片在内的多种电子产品。

  • 市场表现:在全球TFT-LCD驱动IC市场中具有一定影响力。

  ROHM Semiconductor(罗姆半导体)

  • 产品:提供多种半导体产品,包括TFT-LCD驱动芯片。

  • 市场表现:在全球市场中占有一定的市场份额。

中国大陆厂商

  韦尔股份(WillSemi)

  • 业务:于2020年以1.2亿美元收购Synaptics公司亚洲地区的TDDI业务,正式进军显示驱动芯片市场。

  • 市场表现:在智能手机TFT-LCD驱动芯片市场占有率为6%(不含Synaptics TDDI业务交割前的份额),排名第五。

  天德钰(JADARD)

  • 背景:深圳天德钰为富士康科技集团旗下IC设计核心成员。

  • 产品:产品线包含显示驱动芯片、VCM驱动芯片、QC/PD快充协议芯片和电子价签驱动芯片等。

  • 市场表现:在智能手机TFT-LCD驱动芯片市场占有率为4%,排名第六。

  集创北方(Chipone)

  • 业务:中国大陆驱动芯片龙头企业,产品线包含显示驱动芯片、TCON芯片、电源管理芯片、指纹识别芯片、触控芯片和LED显示驱动芯片等。

  • 市场表现:在智能手机TFT-LCD驱动芯片市场占有率接近2%,排名第七。

  新相微

  • 市场表现:在TFT-LCD手机显示驱动芯片市场中出货量约占全球市场的1.5%,在中国内地出货量排名第五。

其他厂商

  • 奕力科技(Ilitek):全球智能手机TFT-LCD驱动芯片市场出货量市占率较高的厂商之一。

  • 敦泰电子(FocalTech)、奇景光电(Himax)等也在全球智能手机TFT-LCD驱动芯片市场中占有一定份额。


03

三、TFT-LCD屏驱动芯片的硬件设计方案

本文主要采用了矽創电子的ST7735S做为驱动芯片。

ST7735S是一款TFT-LCD(薄膜晶体管液晶显示器)图形显示芯片,广泛应用于各种液晶显示模块中。以下是对ST7735S的详细介绍:

一、基本参数

  • 分辨率:ST7735S支持两种分辨率,分别为128160和132162。这两种分辨率的选择通常通过特定的引脚(如GM0和GM1)来配置。

  • 颜色深度:支持26万色(262K-color, RGB666, 18bits),因此片载显示数据存储空间为13216218bits。

  • 颜色模式:常见的颜色模式包括RGB565(16位色,65K色)和RGB666(18位色,262K色)。RGB565因其刚好使用2个字节的空间,常被用于节约单片机资源。

二、接口特性

  • 并行接口:支持并行8080系列单片机接口(8位、9位、16位和18位)和并行6800系列单片机接口(8位、9位、16位和18位)。

  • 串行接口:支持3线和4线两种串行接口。3线串行接口比4线串行接口少了“数据/命令”选择(D/CX)引脚,因此在通信上,3线串行接口主机向从机发送的每个字节前需增加一个“数据/命令”控制位。

三、引脚定义

ST7735S的引脚定义包括但不限于:

  • CS:片选信号。

  • DC(或D/CX):数据模式选择信号,用于区分是写命令还是写数据。

  • RST:复位信号,低电平有效。

  • SDA:SPI数据线。

  • SCL:SPI时钟线。

  • VDD:电源引脚,兼容+5V和+3.3V。

  • GND:接地引脚。

四、应用与操作

1、复位与初始化:

  • 复位信号低电平有效,要求时间大于10us,复位后最好再等待一段时间(如120ms)以确保稳定。

  • 初始化过程包括退出睡眠模式、配置寄存器(如屏幕刷新率、电压、伽马极性、像素格式等)、设置显示等步骤。

2、坐标位置控制:

  • 通过写入0X2A和0X2B寄存器来设置屏幕的显示区域(起始坐标和终点坐标)。

3、颜色填充与显示:

  • 在设置好显示区域后,可以通过写入颜色数据到显存中来实现颜色的填充。常用的颜色数据包括红色、绿色、蓝色、白色、黑色和黄色等。

4、图像与字符显示:

  • 由于ST7735S内部没有字库,所以需要在外部制作字库或图像数据,并通过MCU将数据发送到显示屏上。

五、注意事项

  • 在使用ST7735S时,需要根据具体的应用场景选择合适的分辨率和颜色模式。

  • 初始化过程中需要按照芯片手册中的要求配置相关寄存器,以确保显示屏能够正常工作。

  • 在进行数据传输时,需要注意数据格式和时序要求,以避免通信错误。

总的来说,ST7735S是一款功能强大的TFT-LCD图形显示芯片,广泛应用于各种需要彩色液晶显示的嵌入式系统中。通过合理的配置和使用,可以实现高质量的图像和字符显示效果。


04

四、TFT-LCD屏驱动芯片的软件设计方案

本文采用了奇迹物联的红豆版开源技术平台为主控单元,一步步手把手教会读者如何使用红豆版开源平台编写ST7735S驱动。这里对代码就不多详解,如果需要详细了解,请到奇迹物联的红豆版开源平台了解详细代码讲解。

1 Gitee链接地址

Demo位于amaziot_bloom_os_sdk\sample\3rd\3.0_ST7735S

Gitee源码地址:https://gitee.com/ning./hongdou

Github源码地址:https://github.com/ayumid/hongdou

编译指令:.\build.bat -l .\amaziot_bloom_os_sdk\sample\3rd\3.0_ST7735S

2 组件功能介绍

驱动TFT-LCD显示图片,不同大小的汉字,字符,数字;画圆,画矩形,画线;LCD驱动使用ST7735S。任何品牌的LCD,只要是驱动芯片使用的ST7735S,都可以使用本驱动。驱动使用模拟spi实现。

3 代码讲解

1 drv_st7735s_lcd_fill

功能:该函数用于,在指定区域填充颜色。

参数:

参数

释义

xsta,ysta

起始坐标

xend,yend

终止坐标

返回值:无

示例:

//屏幕显示白色
drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
2 drv_st7735s_draw_point

功能:该函数用于,在指定位置画点。

参数:

参数

释义

x,y

画点坐标

color

点的颜色

返回值:无

示例:

drv_st7735s_draw_point(x0-b,y0-a,color); //3
3 drv_st7735s_draw_line

功能:该函数用于,根据坐标画圆。

参数:

参数

释义

x1,y1

起始坐标

x2,y2

终止坐标

color

线的颜色

返回值:无

示例:

//画线
drv_st7735s_draw_line(10,20,130,40,LIGHTBLUE);
4 drv_st7735s_draw_rectangle

功能:该函数用于,指定坐标画矩形。

参数:

参数

释义

x1,y1

起始坐标

x2,y2

终止坐标

color

线的颜色

返回值:无

示例:

//显示矩形
drv_st7735s_draw_rectangle(120,20,140,60,GREEN);
5 drv_st7735s_draw_circle

功能:该函数用于,画圆。

参数:

参数

释义

x0,y0

圆心坐标

r

半径

color

圆的颜色

返回值:无

示例:

//显示圆
drv_st7735s_draw_circle(45,35,20,BLUE);
6 drv_st7735s_show_chinese

功能:该函数用于,显示汉字串。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

//显示汉字,大小24
drv_st7735s_show_chinese(85,20,"奇迹物联",RED,WHITE,16,0);
7 drv_st7735s_show_chinese_12x12

功能:该函数用于,显示单个12x12汉字。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

12

mode

0非叠加模式 1叠加模式

返回值:无

示例:

drv_st7735s_show_chinese_12x12(x,y,s,fc,bc,sizey,mode);
8 drv_st7735s_show_chinese_16x16

功能:该函数用于,显示单个16x16汉字。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

drv_st7735s_show_chinese_16x16(x,y,s,fc,bc,sizey,mode);
9 drv_st7735s_show_chinese_24x24

功能:该函数用于,显示单个24x24汉字。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

drv_st7735s_show_chinese_24x24(x,y,s,fc,bc,sizey,mode);
10 drv_st7735s_show_chinese_32x32

功能:该函数用于,显示单个32x32汉字。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

drv_st7735s_show_chinese_32x32(x,y,s,fc,bc,sizey,mode);
11 drv_st7735s_show_char

功能:该函数用于,显示单个字符。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

drv_st7735s_show_char(x+(len-2)*sizex,y,'.',fc,bc,sizey,0);
12 drv_st7735s_show_string

功能:该函数用于,显示字符串。

参数:

参数

释义

x,y

显示坐标

*s

要显示的汉字串

fc

字的颜色

bc

字的背景色

sizey

字号 可选 12 16 24 32

mode

0非叠加模式 1叠加模式

返回值:无

示例:

//显示字符
drv_st7735s_show_string(40,20,"LCD_W:",RED,WHITE,16,0);
13 drv_st7735s_pow

功能:该函数用于,显示数字。

参数:

参数

释义

m

底数

n

指数

返回值:无

示例:

temp=(num1/drv_st7735s_pow(10,len-t-1))%10;
14 drv_st7735s_show_pic

功能:该函数用于,显示图片。

参数:

参数

释义

x,y

起点坐标

length

图片长度

width

图片宽度

pic[]

图片数组

返回值:无

示例:

//显示图片,图片宽36,高24,具体要看自己的图片使用桌面软件生成的实际参数,这里的图片参数为93 * 26
drv_st7735s_show_pic(20,25,123,34,gImage_1);
15 drv_st7735s_delay_ms

功能:该函数用于,延时函数。

参数:

参数

释义

ms

毫秒

返回值:无

示例:

drv_st7735s_delay_ms(100);
16 drv_st7735s_gpio_init

功能:该函数用于,gpio初始化。

参数:无

返回值:无

示例:

drv_st7735s_gpio_init();//初始化GPIO
17 drv_st7735s_wr

功能:该函数用于,LCD串行数据写入函数。

参数:

参数

释义

dat

要写入的串行数据

返回值:无

示例:

drv_st7735s_wr(dat);
18 drv_st7735s_wr_byte

功能:该函数用于,写一个字节数据。

参数:

参数

释义

dat

写入的数据

返回值:无

示例:

drv_st7735s_wr_byte(0x36);
19 drv_st7735s_wr_word

功能:该函数用于,LCD写入两个字节数据。

参数:

参数

释义

dat

写入的数据

返回值:无

示例:

drv_st7735s_wr_word(x1+26);
20 drv_st7735s_wr_reg

功能:该函数用于,写LCD写入命令。

参数:

参数

释义

dat

写入的命令

返回值:无

示例:

drv_st7735s_wr_reg(0x2a);//列地址设置
21 drv_st7735s_addr_set

功能:该函数用于,设置起始和结束地址。

参数:

参数

释义

x1,x2

设置列的起始和结束地址

y1,y2

设置行的起始和结束地址

返回值:无

示例:

drv_st7735s_addr_set(x,y,x+sizex-1,y+sizey-1); //设置光标位置 
22 drv_st7735s_init

功能:该函数用于,st7735s初始化。

参数:无

返回值:无

示例:

st7735s初始化

4 Demo实战

4.1 创建一个Demo

复制20.1_file_xtu示例工程,到同一个文件夹下,修改文件名为3.0_ST7735S,如图:

4.2 修改makefile

增加文件组件所在目录头文件路径,和源文件路径,如图:

4.3 增加头文件

使用代码编辑器,将新建的工程文件加入代码编辑器中,打开main.c,修改main.c,加入am.h等头文件,如图:

4.4 修改代码

在Phase2Inits_exit 创建一个任务,如图:

4.5 宏定义介绍
  • sample_st7735s_uart_printf

输出日志到DEBUG 串口,日志比较少,可以输出到这个串口,如果日志比较多,需要输出到usb口,以免不必要的问题出现

  • sample_st7735s_catstudio_printf

输出日志到USB 串口,使用catstudio查看,catstudio查看日志需要更新对应版本mdb.txt文件,软件打开filtter过滤日志,只查看用户输出的日志

  • SAMPLE_ST7735S_STACK_SIZE

栈空间宏定义

4.6 全局变量介绍
  • sample_st7735s_stack_ptr

任务栈空间,本例使用数组实现,用户在做项目时,可以预先估算下当先任务需要的大致栈空间,OS没有提供可以查看栈空间使用情况的API

  • sample_st7735s_task_ref

任务指针

4.7 函数介绍
  • Phase1Inits_enter

底层初始化,本例空

  • Phase1Inits_exit

底层初始化,本例空

  • Phase2Inits_enter

底层初始化,本例空

  • Phase2Inits_exit

创建主任务,初始化消息队列,定时器,任务等。

代码片段:

void Phase2Inits_exit(void)
{
    int ret = 0;
    GPIOConfiguration config = {0};

    //鍒涘缓瀹氭椂鍣?    OSATimerCreate(&sample_xl9535_int_detect_timer_ref);
    //鍒涘缓涓柇澶勭悊浠诲姟
    OSATaskCreate(&sample_st7735s_task_ref, sample_st7735s_stack_ptr, SAMPLE_ST7735S_STACK_SIZE, 100, "st7735s_task", sample_st7735s_task, NULL);

}
  • sample_exat_rcv_uart_task

主任务,获取imsi,rsrq等参数信息。

代码片段:

void sample_st7735s_task(void *param)
{
    GPIO_ReturnCode ret = 0;
    OSA_STATUS status = OS_SUCCESS;
    float t=0;

    drv_st7735s_init();//

    while(1)
    {
        //屏幕显示白色
        drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
        //显示图片,图片宽36,高24,具体要看自己的图片使用桌面软件生成的实际参数,这里的图片参数为93 * 26
        drv_st7735s_show_pic(20,25,123,34,gImage_1);
        sample_st7735s_sleep(1);
        //屏幕显示白色
        drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
        //显示汉字,大小16
        drv_st7735s_show_chinese(50,5,"奇迹物联",RED,WHITE,12,0);
        //显示字符
        drv_st7735s_show_string(40,20,"LCD_W:",RED,WHITE,16,0);
        //显示数字
        drv_st7735s_show_int_num(88,20,LCD_W,3,RED,WHITE,16);
        //显示字符
        drv_st7735s_show_string(40,40,"LCD_H:",RED,WHITE,16,0);
        //显示数字
        drv_st7735s_show_int_num(88,40,LCD_H,3,RED,WHITE,16);
        //显示浮点数
        drv_st7735s_show_float_num(40,60,t,4,RED,WHITE,16);
        t += 0.11;
        
        sample_st7735s_sleep(1);
        //屏幕显示白色
        drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
        //显示圆
        drv_st7735s_draw_circle(45,35,20,BLUE);
        //显示汉字,大小24
        drv_st7735s_show_chinese(85,20,"奇迹物联",RED,WHITE,16,0);
        sample_st7735s_sleep(1);
        //屏幕显示白色
        drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
        //显示矩形
        drv_st7735s_draw_rectangle(120,20,140,60,GREEN);
        //显示汉字,大小32
        drv_st7735s_show_chinese(10,30,"奇迹物联",RED,WHITE,24,0);
        sample_st7735s_sleep(1);
        //屏幕显示白色
        drv_st7735s_lcd_fill(0,0,LCD_W,LCD_H,WHITE);
        //画线
        drv_st7735s_draw_line(10,20,130,40,LIGHTBLUE);
        drv_st7735s_draw_line(50,25,150,10,CYAN);
        //显示汉字,大小12
        drv_st7735s_show_chinese(30,40,"奇迹物联",RED,WHITE,32,0);
        sample_st7735s_sleep(1);
//        LCD_SCLK_Clr();
//        LCD_MOSI_Clr();
//        LCD_RES_Clr();
//        LCD_DC_Clr();
//        LCD_CS_Clr();
//        LCD_BLK_Clr();
//        sample_st7735s_sleep(1);
//        LCD_SCLK_Set();
//        LCD_MOSI_Set();
//        LCD_RES_Set();
//        LCD_DC_Set();
//        LCD_CS_Set();
//        LCD_BLK_Set();
    }
}

4.8 编译

在SDK根目录打开命令行,输入命令.\build.bat -l .\amaziot_bloom_os_sdk\sample\3rd\3.0_ST7735S\

PS F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF
λ .\build.bat -l .\amaziot_bloom_os_sdk\sample\3rd\3.0_ST7735S\
子目录或文件 out\bin 已经存在。
命令语法不正确。
子目录或文件 build\obj 已经存在。
gnumake: Entering directory `F:/3.asr-b/cat.1-asr1606/1.software/BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF/amaziot_bloom_os_sdk/sample/3rd/3.0_ST7735S'
armcc.exe -c  --cpu Cortex-R4 --no_unaligned_access -g -O2 --apcs /inter --diag_suppress 2084,1,2,177,188,223,550,1296,2795,6319,9931,9933 --diag_error=warning --gnu --thumb --loose_implicit_cast  -DDATA_COLLECTOR_IMPL -DISPT_OVER_SSP -DDIAG_SSP_DOUBLE_BUFFER_USE_DYNAMIC_ALLOCATION -DENV_XSCALE -DL1_DCXO_ENABLED -DLTE_HIGH_MOBILITY_OPTIMIZATION -DRUN_XIP_MODE -DCRANE_Z2 -DCA_LONG_IPC_MSG -DNEZHA3 -DNEZHA3_1826 -DUPGRADE_PLMS -DUPGRADE_PLMS_SR -DLTE_GSMMULTIBCCH -DGPLC_LTE_RSSI_SCAN -DL1V_NEW_RSSI -DUPGRADE_PLMS_3G -DUPGRADE_PLMS_L1 -DUPGRADE_FG_PLMS -DFG_PLMS_URR -DUPGRADE_L1A_FG_PLMS -DUPGRADE_PLMS_STAGE_2 -DUPGRADE_MBCCH -DMULTI_BCCH_READY_IND -DURR_MRAT_ICS_SEARCH -DUPGRADE_ICS -DMRAT_NAS -DUPGRADE_PLMS_SEARCH_API -DICS_MBCCH -DICS_MBCCH_2G_RSSI -DDIAG_NEWPP -DPHS_SW_DEMO -DPHS_SW_DEMO_TTC -DPHS_SW_DEMO_TTC_PM -DFULL_SYSTEM -D_DDR_INIT_ -D_TAVOR_HARBELL_ -DUPGRADE_ARBEL_PLATFORM -D_TAVOR_B0_SILICON_ -DTDL1C_SPY_ENABLE -DDLM_TAVOR -DTAVOR -DFLAVOR_DUALCORE -DDEBUG_D2_MOR_REG_RESEREVED_ENABLE -D_DIAG_USE_COMMSTACK_ -D_TAVOR_DIAG_ -DPM_DEBUG_MODE_ENABLED -DPM_D2FULL_MODE -DPM_EXT_DBG_INT_ARR -DFEATURE_WB_AMR_PS -DMACRO_FOR_LWG -DHL_LWG -DOPTIMIZE_FOR_2G_BCCH -DPLAT_TEST -D_FDI_USE_OSA_ -DPLAT_USE_THREADX -DLWIP_IPNETBUF_SUPPORT -DCRANE_MCU_DONGLE -DAT_OVER_UART -DPHS_SW_DEMO_TTC_PM -DUPGRADE_LTE_ONLY -DEXT_AT_MODEM_SUPPORT -DLTEONLY_THIN_SINGLE_SIM -DLFS_FILE_SYS -DLFS_FILE_SYS_V2 -DPSM_ENABLE -DNO_PAHO_MQTT -DNO_XML -DNO_LWM2M -DREMOVE_MBEDTLS -DNO_AT_NET -DCRANE_SD_NOT_SUPPORT -DNTP -DYMODEM_EEH_DUMP -DENABLE_DM_LTEONLY -DLTEONLY_THIN -DNO_EXTEND_MY_Q_AT -DNOT_SUPPORT_HTTPS -DNOT_SUPPORT_PM813 -DCRANEL_4MRAM -DREMOVE_PB -DUART_NEW_VERSION -DREMOVE_MEP -DREMOVE_SMS -DREMOVE_ENVSIM -DAPN_INCODE -DLTEONLY_THIN_SINGLE_SIM_2MFLASH -DASR160X_OPENCPU_FEATURE -DENABLE_UART3_FEATRUE -DENABLE_UART4_FEATRUE -DYUGE_MBEDTLS_3_2_1 -DENABLE_MAC_TX_DATA_LOGGING -DDISABLE_NVRAM_ACCESS -DINTEL_UPGRADE_EE_HANDLER_SUPPORT -DLTE_W_PS -DL1_DUAL_MODE -DUPGRADE_HERMON_DUAL -DINTEL_UPGRADE_DUAL_RAT -DINTEL_UPGRADE_GPRS_CIPHER_FLUSH -DUPGRADE_ENHANCED_QUAD_BAND -DINTEL_2CHIP_PLAT -DI_2CHIP_PLAT -DUPGRDE_TAVOR_COMMUNICATION -DRUN_WIRELESS_MODEM -DFLAVOR_DDR12MB_GB1MB5 -DFEATURE_SHMEM -DACIPC_ENABLE_NEW_CALLBACK_MECHANISM -DRELIABLE_DATA -DMAP_NSS -DTV_FNAME="\"SW_PLATFORM=PMD2NONE PHS_SW_DEMO PHS_SW_DEMO_PM SRCNUCLEUS FULL_SYSTEM NOACRTC PDFLT PLAT_TEST PV2 DIAGOSHMEM NVM WITHL1V\"" -DTV_FDESC="\"SW_DESCRIPTION=\"" -DENABLE_ACIPC -D_DATAOMSL_ENABLED_ -DUSB_CABLE_DETECTION_VIA_PMIC -DMIPS_TEST -DMIPS_TEST_RAM -DFLAVOR_DIET_RAM -DNVM_INCLUDE -DMSL_INCLUDE -DMSL_POOL_MEM -DNO_AUDIO -DOSA_QUEUE_NAMES -D_DIAG_DISABLE_USB_ -DOSA_NUCLEUS -DOSA_USED -DPM_D2NONE_MODE -DCRANE_SOC_TEMPERATURE_SENSOR -DL1_SW_UPDATE_FOR_DIGRF -DPHS_L1_SW_UPDATE_R7 -DUPGRADE_LTE -DFRBD_CALIB_NVM -DFRBD_AGC_CALIB -DFRBD_FDT_CALIB -DHSPA_MPR -DCAPT_PARAMS_OPTIMIZE -DL1_WB_R99_ONLY -DL1V_WB_R99_ONLY -DINTERGRATED_RF_SUPPORT -DL1_RX_DIV_SUPPORT -DENABLE_OOS_HANDLING -DTAVOR_D2_WB_L1_SUPPORT -DL1_DDR_HIGH_FREQ -DUPGRADE_DIGRF3G_SUPPORT -DW_PS_PLUS_G_PAGING -D"NO_APLP=0" -DINTEL_UPGRADE_UNIFIED_VOICE_TASK -DINTEL_UPGRADE_R99 -DAPLP_SPY_ENABLE -D__TARGET_FEATURE_DOUBLEWORD -DWHOLE_UMTS_STACK -DUSE_TTPCOM_CSR_BLUETOOTH_AUDIO_GAIN_CONTROL -DL1_UPGRADE_R5 -DUPGRADE_EDGE -DUPGRADE_R4_FS1 -DINTEL_UPGRADE_GSM_CRL_IF -DUPGRADE_EGPRS_M -DINTEL_UPGRADE_EGPRS_M -DINTEL_UPGRADE_RF_PARAMS_IN_CF_TDS -DINTEL_UPGRADE_2SAMPLES_PER_SYMBOL -D"GPRS_MULTISLOT_CLASS=12" -D"EGPRS_MULTISLOT_CLASS=12" -DMARVELL_UPGRADE_BSIC_REDESIGN -DMSL_INCLUDE -DINTEL_HERMON_SAC -DCRANE_CUST_BUILD -DL1_SW_UPDATE_FOR_DIGRF -DFLAVOR_COM -DSILICON_PV2 -DSILICON_SEAGULL -DSILICON_TTC_CORE_SEAGULL -DPCAC_INCLUDE -Otime  -DBUILD_DATE="\"06 06 2024\"" -DBUILD_TIME="\"10:24:55\"" -Iatcmds\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\yuge\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\UART\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\core\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\PMU\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\GPIO\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\os\posix\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\diag\diag_logic\src -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\csw\SysCfg\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\csw\platform\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\env\win32\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\csw\BSP\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\csw\platform\dev_plat\build -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\os\osa\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\os\threadx\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\os\nu_xscale\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\psm\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\httpclient\src -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\diag\diag_logic\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\timer\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\intc\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\csw\PM\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\pm\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\TickManager\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\BSP\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\atcmdsrv\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\atparser\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\sdk\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\httpclient\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\ci\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include\arch -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include\ipv4 -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include\ipv6 -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include\lwip -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\lwipv4v6\src\include\netif -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\mmi_mat\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\tavor\Arbel\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\tavor\env\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\modem\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\duster\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\fota\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\I2C\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\ACIPC\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\fatsys\flash -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\FDI\src\INCLUDE -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\MMU\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\FDI\src\FDI_ADD -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\FDI\src\FM_INC -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\fatsys\fs\hdr -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\littlefs\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\tts\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\dial\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\csw_memory\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\utilities\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\commpm\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\nvm\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\EEhandler\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\EEhandler\src -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\RTC\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\telephony\ci_client\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\BT_device\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\UART\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\mrd\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\dma\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\softutil\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\SPI\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\websocket\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\atnet_srv\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\softutil\fotacomm\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\aud_sw\Audio\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\aud_sw\ACM_COMM\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\aud_sw\audio_stub\src -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hop\aam\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\aud_sw\AudioHAL\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\hal\dbgshell\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\include\asr160x\pcac\opencpu\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\amaziot_bloom_os_sdk\utils -IF:/3.asr-b/cat.1-asr1606/1.software/BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF/amaziot_bloom_os_sdk/sample/3rd/3.0_ST7735S\am\inc -IF:/3.asr-b/cat.1-asr1606/1.software/BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF/amaziot_bloom_os_sdk/sample/3rd/3.0_ST7735S\atcmds\inc -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\amaziot_bloom_os_sdk\3rd_drives -IF:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\amaziot_bloom_os_sdk\3rd_drives\ST7735S  -o build\obj/ext_at_cmds.o F:/3.asr-b/cat.1-asr1606/1.software/BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF/amaziot_bloom_os_sdk/sample/3rd/3.0_ST7735S/atcmds/src/ext_at_cmds.c
armlink.exe build\obj/main.o build\obj/ext_at_cmds.o build\obj/ext_at_controller.o build\obj/drv_st7735s_lcd.o build\obj/drv_st7735s_lcd_init.o \
                -o F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\out\bin\Arbel_PMD2NONE_40M.axf \
                --via F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\prebuilt_1606l\Arbel_PMD2NONE_targ_objliblist.txt \
                --elf \
                --scatter F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\prebuilt_1606l\Crane_DS_4M_Ram_2M_Flash_XIP_CIPSRAM_Common_SingleSIM.sct \
                --predefine="-DLTEONLY_THIN_SINGLE_SIM" --map --symbols --info sizes,totals \
                --list F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\out\bin\Arbel_PMD2NONE_40M.map \
                --keep init.o(Header) --keep init.o(Vectors) --diag_suppress 6312,6314,6319,6329 \
                --feedback F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\out\feedbackLinkOptLteonlyThin_SingleSim_NoSMS.txt
F:\3.asr-b\cat.1-asr1606\1.software\BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF\tools\buildimage_1606l.bat
SDK_PROD_TYPE   : [DM]
SDK_CUST_SKU    : [THIN_SINGLE_SIM_NO_SMS]
SDK_PS_MODE     : [LTEONLY]
SDK_CHIP_VER    : [Z2A0]
SDK_OS_TYPE     : [TX]
Platform Convertion Tools v4.01 with PS option extension
Convertion done!
|INPUT   |out\bin\cp_1606L.bin
|MARK    |NAME    |EXEADDR .LOADADDR.LENGTH  .CPZLADDR|COMPRESS STASTIC              |
|--------|--------|--------.--------.--------.--------|------------------------------|
|This Is LteOnly 4M|
|RW_CPZ_1|DDR_RW_ |7e119000.8006aa70.0000cdd4.8006b000|0000cdd4->00003000
|This Is LteOnly 4M|
|RW_CPZ_2|PS_NCAH |7e388000.80077844.00001300.8006e000|00001300->00001000
|This Is LteOnly 4M|
|RW_CPZ_3|ITCM    |7e3dac00.80078b44.0000f51c.8006f000|0000f51c->0000a000
|This Is LteOnly 4M|
|RW_CPZ_4|CODE_PS |7e1aa000.80088060.0002fe38.80079000|0002fe38->0001e000
|This Is LteOnly 4M|
|RW_CPZ_5|CODEPSB |7e1dc000.800b7e98.000339c0.80097000|000339c0->0001b000
|This Is LteOnly 4M|
|RW_CPZ_6|CODEPSC |7e213000.800eb858.000323ec.800b2000|000323ec->0001b000
|This Is LteOnly 4M|
|RW_CPZ_7|CODEPSD |7e249000.8011dc44.00028d88.800cd000|00028d88->0001a000
|This Is LteOnly 4M|
|RW_CPZ_8|CODEPSE |7e277000.801469cc.0002e310.800e7000|0002e310->0001a000
|This Is LteOnly 4M|
|RW_CPZ_9|CODEPSF |7e2a9000.80174cdc.0001c948.80101000|0001c948->00011000
|This Is LteOnly 4M|
|RW_CPZ_A|CODE_PL |7e2ca000.80191624.0002fa44.80112000|0002fa44->0001e000
|This Is LteOnly 4M|
|RW_CPZ_B|CODEPLB |7e2fa000.801c1068.00039058.80130000|00039058->00021000
|This Is LteOnly 4M|
|RW_CPZ_C|CODEPLC |7e337000.801fa0c0.000268d4.80151000|000268d4->00011000
|--------|--------|--------.--------.--------.--------|------------------------------|
|                                                     |      0x00209994 -> 0x0014b000|
|                                                     |       2.037(MB) ->  1.293(MB)|
|------------------------------------------------------------------------------------|
cp_1606L.axf
cp_1606L.bin
cp_1606L.map
gnumake: Leaving directory `F:/3.asr-b/cat.1-asr1606/1.software/BlOOM_OS_1606_OPENCPU_1191_A09_WIHT_NEWRF/amaziot_bloom_os_sdk/sample/3rd/3.0_ST7735S'
"copy NEZHAC_CP_CNR_MIFI_TX.bin to ./ "

已复制         1 个文件。
4.9 生成固件

参考入门中开发工具,生成工具。

4.10 测试

测试步骤:

  • 参考编译教程,和文档开头的编译指令,进行编译

  • 按照编译教程选择对应的选项

  • 烧录

4.11 固件

  上电后,屏幕会依次显示图片,不同大小的汉字,字符,数字;画圆,画矩形,画线

点击下载 TFT LCD Demo固件

5 生态组件链接

TFT-LCD驱动


05

五、如何了解更多TFT-LCD屏驱动芯片功能

(如有侵权,联系删除)

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2176712.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

探索Langchain与国内智谱清言:构建先进的智能对话系统[第二课]

在当今的人工智能领域,构建一个能够流畅对话的智能系统是许多企业和开发者的目标。Langchain和国内智谱清言(BigModel)提供了强大的工具和API,使得这一目标变得更加容易实现。 语言模型的力量 语言模型是智能对话系统的核心&am…

git分支-创建、合并、删除

Git会将每次提交串成一条时间线,这条时间线就是一个分支。在最初,只有一个master分支 在目录下创建项目 对目录进行输入 项目被修改 创建dev分支 合并分支 删除dev分支

maven项目自动reload依赖库设置

修改后,自动reload 不需要点加载按钮了。

牛客SQL练习详解 04:多表查询

牛客SQL练习详解 04:多表查询 1、子查询sql21 浙江大学用户题目回答情况 2、链接查询sql22 统计每个学校的答过题的用户的平均答题数sql23 统计每个学校各难度的用户平均刷题数sql24 统计每个用户的平均刷题数 3、组合查询sql25 查找山东大学或者性别为男生的信息 叮…

大数据新视界 --大数据大厂之Cassandra 分布式数据库在大数据中的应用与调优

💖💖💖亲爱的朋友们,热烈欢迎你们来到 青云交的博客!能与你们在此邂逅,我满心欢喜,深感无比荣幸。在这个瞬息万变的时代,我们每个人都在苦苦追寻一处能让心灵安然栖息的港湾。而 我的…

常用激活函数总结

文章目录 什么是激活函数激活函数的作用常用激活函数1.Sigmoid函数2.Softmax函数3.Tanh函数4.Relu函数5.LeakyRelu函数6.PRelu函数7.ELU函数8.SELU函数 什么是激活函数 激活函数,通俗讲,就是一个函数,针对某个神经元,就是将输入经…

AI读教链《关于美国、中国和BTC的三个超级变量​》

这篇文章探讨了美国、中国及比特币(BTC)当前经济形势中的三个超级变量,强调了对经济状况的重新审视。 美国经济与衰退:尽管有观点认为美国经济正在衰退,文章指出这取决于如何定义衰退。美股市场的市盈率处于高位&#…

KQM6600空气质量传感器

KQM6600空气质量传感器 目录 KQM6600空气质量传感器 查找资料 编程 1、初始化(时钟、IO、外设、中断、其他) 2、中断服务函数 3、数据解析函数 kqm6600.h 硬件连接 安装ST-LINK驱动 实验结果 查找资料 找相应的资料,看资料 1、…

百度智能体创建:情感领域的创新力量

《百度智能体:情感领域的创新力量》 一、百度智能体:开启智能新征程 百度智能体,准确叫法为百度文心智能体。它是由百度推出的基于文心大模型的智能体平台。2023 年 9 月 1 日,平台的前身 “灵境矩阵” 上线并开始内测&#xff0c…

变幅液压系统比例阀放大器

变幅液压系统是用于控制起重机或类似设备臂架角度变化的关键系统,它通过调节液压缸的伸缩来实现臂架的升降和变幅。以下是一些关于变幅液压系统的基本原理、组成和应用领域的信息: 基本原理:变幅液压系统通常由液压泵、液压缸、液压马达、控制…

【BMS】BJB MC33772 电流测量

一、硬件准备 1、主控板子:S32K312 板子 2、BJB 板子:MC33772 BJB 板子 3、硬件连接: 两个板子独立 12V 供电,外部电流接线流经分流器 Shunt ,分流器方向从下往上流向得到正值数据。 4、BJB 测量电流电路注意事项 ① 板子上预…

【python】如何切换ipynb的kernel至指定conda环境

需求介绍 打开(若无新建环境) 环境 conda env list conda activate cvml conda install ipykernel python -m ipykernel install --name cvml 以上完成后,打开jupyter 创建一个python文件 在kernel——>change kernel——>python[conda env:cvml] 参考资料…

第167天:应急响应-日志自动提取分析项目_ELK_Logkit_LogonTracer_Anolog等

目录 案例一: 日志自动提取-七牛Logkit&观星应急工具 Logkit 观星 案例二:日志自动分析-Web-360 星图&Goaccess&ALB&Anolog 360 星图 GoAccess ALB Anolog f8x 案例一: 日志自动提取-七牛Logkit&观星应急工具 …

前端框架:选择的艺术

一、简介 在现代 web 开发中,前端框架扮演着至关重要的角色。 首先,它们极大地提升了开发效率。以 React、Vue 和 Angular 为例,这些框架采用组件化的开发模式,允许开发者将页面拆分成独立的、可复用的组件。例如,在一…

ESXi 5.5 系统克隆到SD卡或USB磁盘上

对于如何将安装在本地磁盘上的ESXi系统克隆到SD卡或USB磁盘上,以便快速实现ESXi主机的VSAN-Ready状态。正好猫猫也有点兴趣,所以,就研究了下这个方式,大致的工作思路就是“先通过dd命令将ESXi系统克隆到VMFS Datastore成为一个文件…

一起发现CMake太美-02-CMake是什么CMake的运行原理

本系列课程的主要内容包括: 点击本课程的 链接 可以进入视频课程的学习。 下面介绍本系列课程的第二课的主要内容,本节课从CMake是什么,能做什么,以及CMake的远亲近邻入手,让大家对CMake有一个大致的了解。 1. CMak…

汽车线束之故障诊断方案-TDR测试

当前,在汽车布局中的线束的性能要求越来越高。无法通过简单的通断测试就能满足性能传输要求。早起对智能化要求不高,比如没有激动雷达、高清摄像、中央CPU等。 近几年的智能驾驶对网络传输要求越来越高,不但是高速率,还需要高稳定…

ACM第三次考核题解

ACM第三次考核题解 题目序号难度题目编号题目考察知识点1签到题A这是一道很难的题!!!输出2迷之难度F神说要有光,于是有了手电筒贪心3简单BThis is a real English problem!思维 英语4简单C玩具简单排序5简单I“近义词…

物联网系统中基于IIC通信的数字温度传感器测温方案

01 物联网系统中为什么要使用数字式温度传感器芯片 物联网系统中使用数字式温度传感器芯片的原因主要有以下几点: 高精度与稳定性 高精度测量:数字式温度传感器芯片,如DS18B20,采用芯片集成技术,能够有效抑制外界不…

MISC - 第八天(gnuplot绘图工具,核心价值观编码,outguess隐写工具,ntfs流)

前言 各位师傅大家好,我是qmx_07,今天给大家继续讲解MISC的相关知识 梅花香之苦寒来 附件信息: 附件是一张图片,放到hxd检索相关信息 发现有一段十六进制文本 https://gchq.github.io/CyberChef/ 这个网站拥有丰富的编码和解码内容…