SystemVerilog中randomize() 的注意事项

news2024/9/17 21:05:09

1、等式左右两边的变量名不能相同。若果相同,求解器会认为两边一样,导致约束不生效,例如:

class dma_seq extends uvm_sequence #(dma_trans);
 
  bit [39:0]          addr;
 
  `uvm_do_with(req, {req.addr == addr;})
 
endclass : dma_seq

2、假如要约束addr为0x0000_000C,下面的写法是不行的:

addr == 1'hC;

因为位宽不对,这样addr永远只为0,失去了最低位的1bit,应写为:

rand_states = (glb_cfg.randomize() with {
 
  glb_cfg == 'hC;
})

3、SystemVerilog中constraint指定不等于。

class user_mem_mam_policy extends uvm_mem_mam_policy;
  bit [31:0]    offset;
  
  constraint policy_offset_cons{
    start_offset % 8 != 0;
 
  }
 
endclass : uvm_mem_mam_policy

4、SystemVerilog中约束数组。

bit [15:0]    dma_rd_len[];
constraint c_dma_rd_len{
  foreach(dma_rd_len[i]){
    dma_rd_len[i] dist {128:=20, 256:=20, [0:127]:/20, [129:255]:/20};
  }
 
}
 
constraint c_dma_rd_len_sum_con{
  dma_rd_len.size() == 655350;
 
}

5、在task中产生一个随机数,可以做如下约束:

function void pre_randomize();
  std::randomize(sub_payload_num) with {sub_payload_num inside {[1:255]};};
endfunction : pre_randomize

6、在randomize with{}语句中,可以使用if-else,但是if-else语句要用大括号包起来,不能使用begin-end

class uvm_axi_cfg extends svt_axi_system_configuration;
 
  `uvm_object_utils(uvm_axi_cfg)
 
  bit [0:0]                    slow_mode;
 
  function new(string name = "uvm_axi_cfg");
    super.new();
    $value$plusargs("SLOW_MOD=%0d", slow_mode);
 
    slavecfg[0].randomize() with {
      axi_interface_type == AXI3;
      data_width         == 32;
      id_width           == 4;
      addr_width         == 32;
    }
 
    if(slow_mode == 'h1) {
      default_arready == 1'b0;
      default_awready == 1'b0;
      default_wready  == 1'b0;
    }
    else if(slow_mode == 'h0) {
      default_arready == 1'b1;
      default_awready == 1'b1;
      default_wready  == 1'b1;
    }
  endfunction
 
endclass

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.coloradmin.cn/o/2124107.html

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈,一经查实,立即删除!

相关文章

Git环境搭建

我的博客大纲 我的GIT学习大纲 Git安装步骤: 1.官网地址 查看 GNU 协议,可以直接点击下一步: 2.Git配置选项如下: 3.选择后台客户端连接协议,选默认值 OpenSSL,然后下一步。 4.Git换行符号 5.选择终端类型…

Lesson08---string类(2)

1.assign assign的功能就类似于把string里面原来有的东西清空然后重新赋值 但是重新赋值也可以达到一样的效果感觉没什么用,了解一下就行 2.insert 第一个参数是在第几个位置插入,第二个参数是插入的字符串 但是这里不得不吐槽一下这里只能是字符串&…

Python之异常处理与程序调试(Exception Handling and Program Debugging in Python)

💝💝💝欢迎来到我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:Linux运维老纪的首页…

Netty权威指南:Netty总结-服务端创建

第13章 服务端创建 13.1 原生NIO类库复杂性 开发高质量的NIO的程序并不简单,成本太高 13.2 服务端创建源码 通过ServerBootStrap启动辅助类启动Netty 13.2.1 创建时序图 以下是关键步骤: 创建ServerBootStrap实例。这是启动辅助类,提供一…

AI写作培训课创业参考模式:《如何与AI共同写作》

在数字化时代,写作能力已成为职场和生活中不可或缺的一项技能。随着人工智能技术的发展,AI工具开始在写作过程中发挥越来越重要的作用。《如何与AI共同写作》正是这样一门专业的在线写作课程,它通过结合AI技术和实践操作,帮助学员在30天内掌握高效的写作技巧,提升个人品牌…

网络安全-原型链污染

目录 一、简单介绍一下原型链 二、举个例子 三、那原型链污染是什么呢 四、我们来看一道题-hackit 2018 4.1 环境 4.2开始解题 4.3 解答: 一、简单介绍一下原型链 JavaScript 常被描述为一种基于原型的语言 (prototype-based language)——每个对象拥有一个原…

MySQL基础——DQL

DQL(Data Query Language,数据查询语言)是SQL中的一个子集,主要用于查询数据库中的数据。DQL的核心语句是 SELECT,它用于从一个或多个表中提取数据,并能够通过各种条件进行过滤、排序和聚合操作。下面是DQL…

Android解析XML格式数据

文章目录 Android解析XML格式数据搭建Web服务器Pull解析方式SAX解析方式 Android解析XML格式数据 通常情况下,每个需要访问网络的应用程序都会有一个自己的服务器,我们可以向服务器提交数据,也可以从服务器上获取数据。不过这个时候就出现了…

Vant 按需引入导致 Typescript,eslint 报错问题

目录 1,按需引入问题2,Typescript 报错解决3,eslint 报错解决 1,按需引入问题 vant4 通过按需引入的配置 使用组件时,会同时将样式自动导入。 所以可直接使用相关的 API 方法,样式也没有问题。比如&#…

如何使用VeilTransfer评估和提升组织的数据安全态势

关于VeilTransfer VeilTransfer是一款功能强大的企业数据安全检测与增强工具,该工具基于Go语言开发,旨在帮助广大研究人员完成企业环境下的数据安全测试并增强检测能力。 此工具模拟了高级威胁行为者使用的真实数据泄露技术,使组织能够评估和…

基于SpringBoot的求职招聘管理系统

作者:计算机学姐 开发技术:SpringBoot、SSM、Vue、MySQL、JSP、ElementUI等,“文末源码”。 专栏推荐:前后端分离项目源码、SpringBoot项目源码、SSM项目源码 系统展示 【2025最新】基于JavaSpringBootVueMySQL的求职招聘管理系统…

1.10 DFT示例2

1.10 DFT示例2 1.10.2 一个通用正弦波 通过将复正弦波的表达式代入DFT的定义,并按照矩形序列示例中的相同步骤,可以类似地推导出通用正弦波的DFT。 尽管如此,在理解了上述概念后,我们可以通过一种更简单的方法看到一般正弦波的…

校园二手数码交易系统小程序的设计

管理员账户功能包括:系统首页,个人中心,卖家管理,用户管理,二手商品管理,商品类型管理,商品求购管理,用户通知管理,退货管理 微信端账号功能包括:系统首页&a…

索尼发布新款PS5 Pro主机 算力与定价齐飞 9成玩家感叹“价格贵”

北京时间周二深夜,消费电子大厂索尼如期发布游戏主机PlayStation 5的升级版本PS5 Pro。虽然机器参数与事前预期完全一致,但发布会后仍然出现了舆论争议——索尼的定价超出预期了。 (PS5首席架构师马克塞尔尼发布新机器,来源&#…

Python编码系列—Python项目维护与迭代:持续进化的艺术

🌟🌟 欢迎来到我的技术小筑,一个专为技术探索者打造的交流空间。在这里,我们不仅分享代码的智慧,还探讨技术的深度与广度。无论您是资深开发者还是技术新手,这里都有一片属于您的天空。让我们在知识的海洋中…

面试官:聊聊MySQL的binlog

前言 MySQL 日志 主要包括错误日志、查询日志、慢查询日志、事务日志、二进制日志几大类。 其中,比较重要的还要属二进制日志 binlog(归档日志)和事务日志 redo log(重做日志)和 undo log(回滚日志&#…

idea中git提交的代码回退到指定版本

一.先在本地仓库回退到指定版本的代码 选中项目,右键依次点击【Git】——>【Show History】,如下图: 2. 查看提交到远程仓库的git记录,下图中为每次提交的git记录,回退到其中一个指定的即可,如下图&…

ICM20948 DMP代码详解(13)

接前一篇文章:ICM20948 DMP代码详解(12) 上一回完成了对inv_icm20948_set_chip_to_body_axis_quaternion函数第2步即inv_rotation_to_quaternion函数的解析。回到inv_icm20948_set_chip_to_body_axis_quaternion中来,继续往下进行…

开放式激光振镜运动控制器在Ubuntu+Qt下的文本标刻

开放式激光振镜运动控制器在UbuntuQt下的文本标刻 上节课程我们讲述了如何通过UbuntuQt进行振镜校正(详情点击→开放式激光振镜运动控制器在UbuntuQt下的激光振镜校正),本节文本标刻是在振镜校正的前提下实现的。 在正式学习之前&#xff0…

首个大模型供应链安全领域的国际标准,WDTA《大模型供应链安全要求》标准解读

9月6日,WDTA世界数字技术院在2024 外滩大会上正式发布了国际标准《大模型供应链安全要求》。这一标准为管理大型语言模型(LLM)供应链中的安全风险提出了系统性的框架,涵盖了LLM的整个生命周期,从开发、训练到部署和维护,为每个阶段提供了详尽的指导。 文末附标准获取方式 一…